《電子技術(shù)應(yīng)用》
您所在的位置:首頁(yè) > EDA與制造 > 業(yè)界動(dòng)態(tài) > 芯和半導(dǎo)體聯(lián)合新思科技業(yè)界首發(fā),前所未有的“3DIC先進(jìn)封裝設(shè)計(jì)分析全流程”EDA平臺(tái)

芯和半導(dǎo)體聯(lián)合新思科技業(yè)界首發(fā),前所未有的“3DIC先進(jìn)封裝設(shè)計(jì)分析全流程”EDA平臺(tái)

2021-08-31
來(lái)源:芯和半導(dǎo)體

  2021年8月,中國(guó)上海訊--國(guó)產(chǎn)EDA行業(yè)的領(lǐng)軍企業(yè)芯和半導(dǎo)體發(fā)布了前所未有的“3DIC先進(jìn)封裝設(shè)計(jì)分析全流程”EDA平臺(tái)。該平臺(tái)聯(lián)合了全球EDA排名第一的新思科技,是業(yè)界首個(gè)用于3DIC多芯片系統(tǒng)設(shè)計(jì)分析的統(tǒng)一平臺(tái),為客戶構(gòu)建了一個(gè)完全集成、性能卓著且易于使用的環(huán)境,提供了從開(kāi)發(fā)、設(shè)計(jì)、驗(yàn)證、信號(hào)完整性仿真、電源完整性仿真到最終簽核的3DIC全流程解決方案。

  隨著芯片制造工藝不斷接近物理極限,芯片的布局設(shè)計(jì)--異構(gòu)集成的3DIC先進(jìn)封裝(以下簡(jiǎn)稱“3DIC”)已經(jīng)成為延續(xù)摩爾定律的最佳途徑之一。3DIC將不同工藝制程、不同性質(zhì)的芯片以三維堆疊的方式整合在一個(gè)封裝體內(nèi),提供性能、功耗、面積和成本的優(yōu)勢(shì),能夠?yàn)?G移動(dòng)、HPC、AI、汽車電子等領(lǐng)先應(yīng)用提供更高水平的集成、更高性能的計(jì)算和更多的內(nèi)存訪問(wèn)。然而,3DIC作為一個(gè)新的領(lǐng)域,之前并沒(méi)有成熟的設(shè)計(jì)分析解決方案,使用傳統(tǒng)的脫節(jié)的點(diǎn)工具和流程對(duì)設(shè)計(jì)收斂會(huì)帶來(lái)巨大的挑戰(zhàn),而對(duì)信號(hào)、電源完整性分析的需求也隨著垂直堆疊的芯片而爆發(fā)式增長(zhǎng)。

  芯和半導(dǎo)體此次發(fā)布的3DIC先進(jìn)封裝設(shè)計(jì)分析全流程EDA平臺(tái),將芯和2.5D/3DIC先進(jìn)封裝分析方案Metis與新思 3DIC Compiler現(xiàn)有的設(shè)計(jì)流程無(wú)縫結(jié)合,突破了傳統(tǒng)封裝技術(shù)的極限,能同時(shí)支持芯片間幾十萬(wàn)根數(shù)據(jù)通道的互聯(lián)。該平臺(tái)充分發(fā)揮了芯和在芯片-Interposer-封裝整個(gè)系統(tǒng)級(jí)別的協(xié)同仿真分析能力;同時(shí),它首創(chuàng)了“速度-平衡-精度”三種仿真模式,幫助工程師在3DIC設(shè)計(jì)的每一個(gè)階段,能根據(jù)自己的應(yīng)用場(chǎng)景選擇最佳的模式,以實(shí)現(xiàn)仿真速度和精度的權(quán)衡,更快地收斂到最佳解決方案。

  芯和半導(dǎo)體聯(lián)合創(chuàng)始人、高級(jí)副總裁代文亮博士表示:“在3DIC的多芯片環(huán)境中,僅僅對(duì)單個(gè)芯片進(jìn)行分析已遠(yuǎn)遠(yuǎn)不夠,需要上升到整個(gè)系統(tǒng)層面一起分析。芯和的Metis與新思的 3DIC Compiler的集成,為工程師提供了全面的協(xié)同設(shè)計(jì)和協(xié)同分析自動(dòng)化功能,在設(shè)計(jì)的每個(gè)階段都能使用到靈活和強(qiáng)大的電磁建模仿真分析能力,更好地優(yōu)化其整體系統(tǒng)的信號(hào)完整性和電源完整性。通過(guò)減少 3DIC 的設(shè)計(jì)迭代加快收斂速度,使我們的客戶能夠在封裝設(shè)計(jì)和異構(gòu)集成架構(gòu)設(shè)計(jì)方面不斷創(chuàng)新?!?/p>




微信圖片_20210517164139.jpg

本站內(nèi)容除特別聲明的原創(chuàng)文章之外,轉(zhuǎn)載內(nèi)容只為傳遞更多信息,并不代表本網(wǎng)站贊同其觀點(diǎn)。轉(zhuǎn)載的所有的文章、圖片、音/視頻文件等資料的版權(quán)歸版權(quán)所有權(quán)人所有。本站采用的非本站原創(chuàng)文章及圖片等內(nèi)容無(wú)法一一聯(lián)系確認(rèn)版權(quán)者。如涉及作品內(nèi)容、版權(quán)和其它問(wèn)題,請(qǐng)及時(shí)通過(guò)電子郵件或電話通知我們,以便迅速采取適當(dāng)措施,避免給雙方造成不必要的經(jīng)濟(jì)損失。聯(lián)系電話:010-82306118;郵箱:aet@chinaaet.com。