《電子技術(shù)應(yīng)用》
您所在的位置:首頁 > 通信與網(wǎng)絡(luò) > 業(yè)界動(dòng)態(tài) > UWB通信系統(tǒng)中TH_PPM調(diào)制的硬件仿真實(shí)現(xiàn)

UWB通信系統(tǒng)中TH_PPM調(diào)制的硬件仿真實(shí)現(xiàn)

2009-07-16
作者:屈 源, 葛利嘉, 雙 濤, 薛

??? 摘? 要: 超寬帶(UWB)技術(shù)具有功耗低、抗干擾和抗多徑能力好、穿透能力強(qiáng)等優(yōu)點(diǎn),特別適用于隱藏活動(dòng)目標(biāo)檢測(cè)和近距離數(shù)據(jù)傳輸。對(duì)超寬帶通信中最常用的TH_PPM調(diào)制方式從信號(hào)產(chǎn)生到方案設(shè)計(jì)實(shí)現(xiàn)進(jìn)行了分析,將所設(shè)計(jì)的VHDL程序用Modelsim硬件仿真軟件進(jìn)行了功能仿真,并將程序下載到芯片上用示波器進(jìn)行了波形實(shí)測(cè),結(jié)果完全滿足設(shè)計(jì)要求。?

??? 關(guān)鍵詞: 超寬帶; TH_PPM; Modelsim; VHDL

?

??? 超寬帶(UWB)技術(shù)作為一種新興的無線通信技術(shù),與常規(guī)無線電相比,具有對(duì)信道衰落不敏感、發(fā)射信號(hào)功率譜密度低、截獲概率小、系統(tǒng)復(fù)雜度低、能提供數(shù)厘米定位精度以及有很強(qiáng)的抗多徑干擾能力[1]等優(yōu)點(diǎn)。相對(duì)于傳統(tǒng)窄帶系統(tǒng),UWB在密集多徑環(huán)境和高媒體數(shù)據(jù)速率條件下具有明顯的優(yōu)勢(shì),而高效的編碼調(diào)制技術(shù)又是實(shí)現(xiàn)UWB高速無線應(yīng)用的關(guān)鍵技術(shù)之一。因此,本文對(duì)UWB通信系統(tǒng)中常用的TH_PPM調(diào)制方式進(jìn)行分析并用Modelsim硬件仿真軟件進(jìn)行了仿真,最后用示波器對(duì)波形進(jìn)行實(shí)測(cè)。結(jié)果表明設(shè)計(jì)滿足要求,可以在超寬帶通信系統(tǒng)中進(jìn)行實(shí)際應(yīng)用。?

1 UWB通信系統(tǒng)的TH_PPM信號(hào)的產(chǎn)生?

??? 超寬帶在無線電通信研究中受到廣泛的關(guān)注,作為最常用的超寬帶調(diào)制方式之一,參考文獻(xiàn)[2,3]提出的TH_PPM信號(hào)產(chǎn)生模型如下:?

?????

式中, w(t)表示發(fā)送的單周期脈沖;{cj}是PN碼序列;表示信息碼序列;Tc為PN碼所控制的脈沖時(shí)延偏移單位; Tf是無調(diào)制時(shí)的均勻單周期脈沖的重復(fù)周期;δ為信息碼控制的附加時(shí)延(有時(shí)稱為時(shí)間調(diào)制指數(shù)),當(dāng)信息碼為“1”時(shí),有附加時(shí)延δ,當(dāng)信息碼為“0”時(shí),無附加時(shí)延δ;本文采用重復(fù)編碼,每NS個(gè)單周期脈沖波形傳送1個(gè)二進(jìn)制符號(hào);信息碼的脈寬TS=NsTf,信息速率RS=1/Ts,從式(1)可知,TH_UWB信號(hào)中包括兩種時(shí)延,的絕對(duì)值表示了用戶所發(fā)射的沖激脈沖串中第j個(gè)脈沖的起點(diǎn)時(shí)刻。?

2 TH_PPM信號(hào)的設(shè)計(jì)原理及實(shí)現(xiàn)方案?

本文基于參考文獻(xiàn)[4]提出了另一種簡(jiǎn)化的TH_PPM信號(hào)產(chǎn)生模型,如圖1所示。?

?

?

??? 在圖1中,信息碼與PN碼作用于跳時(shí)脈沖形成器輸出二者共同控制的跳時(shí)脈沖。一般地,信息數(shù)據(jù)的速率遠(yuǎn)低于PN碼速率,也就是一個(gè)信息周期包含著PN碼多個(gè)周期,可作為一個(gè)碼片來處理。據(jù)此,可以將(1)式中的調(diào)制偏移量合并,用bjTb表示時(shí)延偏移,則TH_PPM信號(hào)的表達(dá)式可簡(jiǎn)化為:?

?????

其中,bj為受信息碼和PN碼共同控制的時(shí)延偏移系數(shù)。?

??? 本方案所采用的基帶系統(tǒng)模型如圖2所示。整個(gè)模型由基準(zhǔn)時(shí)鐘產(chǎn)生器、分頻器、PN碼產(chǎn)生器、信碼產(chǎn)生器、二進(jìn)制加法器、比較器以及PPM信號(hào)形成器構(gòu)成。?

?

?

??? 圖2中,基準(zhǔn)時(shí)鐘產(chǎn)生器輸出50 MHz的基準(zhǔn)時(shí)鐘;分頻器1是1個(gè)2 bit的二進(jìn)制分頻器,用于產(chǎn)生基準(zhǔn)脈沖位置比較信號(hào);信息碼產(chǎn)生器產(chǎn)生實(shí)驗(yàn)用的二進(jìn)制信息序列;PN碼產(chǎn)生器產(chǎn)生偽隨機(jī)序列,作為地址碼;比較器的功能是將跳時(shí)脈沖形成器的兩種可能輸出狀態(tài)(00,10)與分頻器1輸出的基準(zhǔn)脈沖位置信號(hào)(00,01,10,11)在基準(zhǔn)時(shí)鐘的控制下進(jìn)行現(xiàn)時(shí)比較,例如在基準(zhǔn)時(shí)鐘的上升沿,若跳時(shí)脈沖形成器的輸出狀態(tài)為10,則只有當(dāng)分頻器的輸出也為10時(shí),比較器輸出為“1”,否則輸出為“0”。由于在設(shè)計(jì)時(shí),使脈沖形成器輸出的某個(gè)狀態(tài)至少保持分頻器的一個(gè)狀態(tài)周期時(shí)間,因此可保證在一個(gè)Tf內(nèi)狀態(tài)00、10有唯一的某個(gè)狀態(tài)與分頻器1的輸出狀態(tài)對(duì)應(yīng),而且狀態(tài)不同,對(duì)應(yīng)的比較輸出的信號(hào)出現(xiàn)在上升沿的位置不同;PPM信號(hào)形成器的作用是在基準(zhǔn)時(shí)鐘的控制下,將比較器輸出脈沖進(jìn)行延遲、倒相和信號(hào)合成,便可輸出PPM信號(hào)。脈沖產(chǎn)生器的作用是把PPM基帶信號(hào)變換成符合要求的極窄高斯脈沖序列,形成TH_PPM的UWB信號(hào)Str(t)。?

3 TH_PPM仿真方案?

??? 本系統(tǒng)采用Xilinx公司的ISE9.1軟件作為編程平臺(tái),用VHDL硬件語言編寫程序,用Modelsim硬件仿真軟件進(jìn)行仿真。TH_PPM模塊對(duì)外共有3個(gè)輸入輸出端口,其中CLK是系統(tǒng)提供的時(shí)鐘信號(hào),頻率為50 MHz,Data_in為信碼輸入端口, TH_PPM_OUT是該模塊的輸出端,經(jīng)過TH_PPM調(diào)制后的TH_PPM脈沖信號(hào)即從該引腳發(fā)送到其他模塊。?

??? 本方案采用的跳時(shí)碼周期為31,為了便于實(shí)現(xiàn)(主要是便于時(shí)鐘分頻的需要),對(duì)其補(bǔ)一位0,得到周期為32的跳時(shí)碼,故將每32個(gè)脈沖構(gòu)成一幀,由1個(gè)周期的跳時(shí)碼對(duì)其進(jìn)行調(diào)制,每個(gè)跳時(shí)碼對(duì)應(yīng)一個(gè)脈沖。?

??? TH_PPM信號(hào)產(chǎn)生器的VHDL設(shè)計(jì)頂層電路圖模型如圖3所示。?

?

?

??? 該TH_PPM信號(hào)產(chǎn)生器由DCM模塊、數(shù)據(jù)緩存器、跳時(shí)碼ROM、跳時(shí)控制模塊、PPM產(chǎn)生模塊等幾大部分組成。DCM模塊即數(shù)字時(shí)鐘管理模塊,可以在50 MHz系統(tǒng)時(shí)鐘的基礎(chǔ)上通過分頻和倍頻產(chǎn)生穩(wěn)定的64 MHz時(shí)鐘,用于形成基帶脈沖。時(shí)鐘產(chǎn)生模塊的作用主要是對(duì)DCM產(chǎn)生的高速時(shí)鐘進(jìn)行分頻,得到用以讀取跳時(shí)碼以及控制脈沖跳時(shí)的低速時(shí)鐘。跳時(shí)碼存儲(chǔ)在ROM模塊中,該模塊使用Xilinx公司的IP核生成,實(shí)現(xiàn)簡(jiǎn)單,在跳時(shí)過程中讀寫數(shù)據(jù)方便。跳時(shí)控制模塊是這部分的核心,它接收ROM送來的跳時(shí)碼,在高速時(shí)鐘的控制下產(chǎn)生基帶脈沖信號(hào),低速脈沖讀取的跳時(shí)碼控制脈沖信號(hào)在一個(gè)周期內(nèi)的位置,并根據(jù)跳時(shí)碼的重復(fù)周期將若干個(gè)脈沖劃分為一幀,便于跳時(shí)實(shí)現(xiàn)。?

4 實(shí)驗(yàn)仿真結(jié)果及分析?

4.1? 頂層模塊仿真結(jié)果分析?

??? 圖4是TH_PPM模塊的Modelsim功能仿真圖。它包含輸入信號(hào)clk(系統(tǒng)時(shí)鐘)、data_in(信碼輸入信號(hào))、pulse_out(跳時(shí)脈沖信號(hào))以及輸出的th_ppm_out(th_ppm調(diào)制的脈沖信號(hào))。從圖4可以看到,輸出的ppm脈沖的間距并不相同,在有跳時(shí)脈沖輸出的地方,th_ppm_out的間距呈明顯寬窄變化。通過放大的仿真圖(見圖5)更是可以清晰地看到,輸入時(shí)鐘周期為20 ns,th_ppm脈沖寬度為5 ns,輸出的th_ppm_out脈沖間距寬度不一。當(dāng)pulse_out從0變?yōu)?時(shí)對(duì)應(yīng)的兩脈沖間距為25 ns,當(dāng)pulse_out從1變?yōu)?時(shí)對(duì)應(yīng)的兩脈沖間距為5 ns,其余pulse_out沒有變化的時(shí)刻兩脈沖間距都為15 ns,這三者存在5:3:1的關(guān)系,與筆者對(duì)PPM設(shè)計(jì)的預(yù)期一致。整個(gè)波形直觀地反映出系統(tǒng)對(duì)輸入信碼的調(diào)制控制關(guān)系,從而認(rèn)為該模塊輸出的脈沖是經(jīng)過TH_PPM調(diào)制處理了的,滿足了設(shè)計(jì)預(yù)期。?

?

?

?

4.2 跳時(shí)模塊仿真結(jié)果分析?

??? 如圖6所示,并行的8位數(shù)據(jù)data_in從數(shù)據(jù)緩存器輸出串行信號(hào)dout,在dout為1的1個(gè)跳時(shí)碼周期內(nèi),有跳時(shí)脈沖輸出,dout為0的其他跳時(shí)碼周期內(nèi),無跳時(shí)脈沖輸出。把跳時(shí)碼的32位分成64個(gè)時(shí)隙,從仿真放大圖7可以看到,跳時(shí)碼為7時(shí),在第7+7個(gè)時(shí)隙位置有跳時(shí)脈沖輸出。故跳時(shí)模塊完成了在跳時(shí)碼控制下對(duì)輸入數(shù)據(jù)的跳時(shí)控制,實(shí)現(xiàn)了預(yù)期功能。?

?

?

?

5 實(shí)驗(yàn)測(cè)試?

??? 本實(shí)驗(yàn)系統(tǒng)利用學(xué)院創(chuàng)新項(xiàng)目超寬帶單兵電臺(tái)中的基帶板作為測(cè)試平臺(tái),將上述的TH_PPM信號(hào)產(chǎn)生模塊的VHDL設(shè)計(jì)程序下載并配置到FPGA芯片中,采用Agilent公司54855A型示波器進(jìn)行觀測(cè),采樣速率為20 GS/s。圖8為所測(cè)試的跳時(shí)PPM脈沖波形,從中可以看到,脈沖寬度約為5 ns。同時(shí),基準(zhǔn)脈沖間距為15 ns,窄脈沖間距5 ns,寬脈沖間距25 ns,這三者滿足3:1:5的關(guān)系,與本設(shè)計(jì)相符,達(dá)到了設(shè)計(jì)要求。?

?

?

??? 本方案首先從波形分析、信號(hào)產(chǎn)生、方案設(shè)計(jì)等幾個(gè)方面對(duì)TH_PPM調(diào)制進(jìn)行了分析;然后運(yùn)用硬件仿真軟件對(duì)其進(jìn)行了硬件仿真;最后在示波器上進(jìn)行了波形實(shí)測(cè)。從中看出本方案滿足了預(yù)期要求,可以在超寬帶通信系統(tǒng)中進(jìn)行實(shí)際運(yùn)用。?

參考文獻(xiàn)?

[1] MOE Z W. Spetral density of random UWB signals[J]. IEEE Communications Letters,2002,6(12):526-528.?

[2] MOE Z W,ROBERT A S. Ultra-wide?band time-hopping ? spread-spectrum?impulse radio for wireless multiple access?communications[J].IEEE Transactions Communications.2000,48(4):679-691.?

[3] MOE Z W, ROBERT? A. Comparison of?analog and digital impulse radio for wireless mult iple access communications[A]. IEEE?International Conference on Communications,M0NTREAL,CANADA,June 1997:91-95. ?

[4] 段吉海,鄭繼禹,仇洪冰,林基明.UWB通信系統(tǒng)的TH_PPM信號(hào)產(chǎn)生與接收處理.桂林電子工業(yè)學(xué)報(bào),2005(6).

本站內(nèi)容除特別聲明的原創(chuàng)文章之外,轉(zhuǎn)載內(nèi)容只為傳遞更多信息,并不代表本網(wǎng)站贊同其觀點(diǎn)。轉(zhuǎn)載的所有的文章、圖片、音/視頻文件等資料的版權(quán)歸版權(quán)所有權(quán)人所有。本站采用的非本站原創(chuàng)文章及圖片等內(nèi)容無法一一聯(lián)系確認(rèn)版權(quán)者。如涉及作品內(nèi)容、版權(quán)和其它問題,請(qǐng)及時(shí)通過電子郵件或電話通知我們,以便迅速采取適當(dāng)措施,避免給雙方造成不必要的經(jīng)濟(jì)損失。聯(lián)系電話:010-82306118;郵箱:aet@chinaaet.com。