《電子技術(shù)應(yīng)用》
您所在的位置:首頁 > 可編程邏輯 > 其他 > FPGA教學(xué)——FPGA采集DHT11溫濕度

FPGA教學(xué)——FPGA采集DHT11溫濕度

2022-09-07
來源:電子技術(shù)應(yīng)用專欄作家 FPGA之旅
關(guān)鍵詞: FPGA DHT11

  一. 簡介

  本篇是FPGA之旅設(shè)計(jì)的第十二例,在前面的例程中,完成了DS18B20溫度傳感器數(shù)據(jù)的采集,并且將采集到的數(shù)據(jù)顯示在數(shù)碼管上。由于本例將對(duì)溫濕度傳感器DHT11進(jìn)行采集,而且兩者的數(shù)據(jù)采集過程類似,所以可以參考一下前面的例程。本例將通過signal top實(shí)時(shí)采集波形,確定采集到的數(shù)據(jù)是正確了(數(shù)據(jù)中帶了校驗(yàn))。

  二. DHT11傳感器簡介

  應(yīng)用電路如下,和DS18B20一樣,只需要一根數(shù)據(jù)線與FPGA進(jìn)行通信。每次通信都是FPGA發(fā)起的,隨后DHT11會(huì)輸出40bit的數(shù)據(jù)給FPGA。溫度測(cè)試范圍為0-50℃,濕度測(cè)試范圍為20-90%RH(相對(duì)濕度),簡單了解一下即可。

  微信圖片_20220907142538.png

  三. 數(shù)據(jù)傳輸過程

  數(shù)據(jù)傳輸一共包括三個(gè)部分

  開始采集 : FPGA拉低數(shù)據(jù)線,然后釋放,等等DHT11響應(yīng)

  數(shù)據(jù)采集 : 這部分一共需要傳輸40bit的數(shù)據(jù),分別為(高到底) 8bit濕度整數(shù)位,8bit濕度小數(shù)位,8bit溫度整數(shù)位,8bit溫度小數(shù)位,8bit校驗(yàn)和。校驗(yàn)和 = 數(shù)據(jù)之和,通過這個(gè)可以判斷接收到的數(shù)據(jù)是否正確。

  采集結(jié)束:從機(jī)拉低約50us后,主機(jī)拉低

  官方圖如下,下面詳細(xì)說明一下各個(gè)部分。

  微信圖片_20220907142621.png

  1

  開始采集

  從圖中可以看到,開始采集包括兩個(gè)部分,主機(jī)和從機(jī)。主機(jī)先拉低總線18ms,然后拉高20-40us后釋放總線,然后從機(jī)響應(yīng)拉低總線80us后,拉高總線80us,就完成了。這里的拉低拉高時(shí)間都不是一個(gè)固定值,可以根據(jù)實(shí)際情況來決定。

  

  2

  數(shù)據(jù)傳輸

  開始采集結(jié)束后,就是數(shù)據(jù)傳輸了,一根總線最關(guān)心的問題就是如何表示數(shù)據(jù)1和數(shù)據(jù)0了。

  數(shù)據(jù)0表示如下

  微信圖片_20220907142647.png

  數(shù)據(jù)1表示如下

  微信圖片_20220907142656.png

  可以看到,無論是數(shù)據(jù)0還是數(shù)據(jù)1,總線拉低的時(shí)間都是一樣的,所以在判斷數(shù)據(jù)0和數(shù)據(jù)1,只需要根據(jù)高電平的持續(xù)時(shí)間即可。

  3

  采集結(jié)束

  在從機(jī)拉低50us后,F(xiàn)PGA將總線拉高即可。

  微信圖片_20220907142713.png

  以上就是DHT11數(shù)據(jù)傳輸?shù)娜績?nèi)容,還是比較容易的哈。

  四. 代碼實(shí)現(xiàn)

  (一). 狀態(tài)機(jī)確定

  通過上面的時(shí)序圖,可以確定,采集過程可以大致分為六個(gè)階段,其中請(qǐng)求部分可以分為FPGA請(qǐng)求和DHT11應(yīng)答兩個(gè)部分,具體如下。

  localparam S_IDLE          =    'd0;  //空閑態(tài)

  localparam S_START_FPGA    =    'd1;  //FPGA請(qǐng)求采集數(shù)據(jù)開始

  localparam S_START_DHT11   =      'd2;  //DHT11開始請(qǐng)求應(yīng)答

  localparam S_DATA          =    'd3;  //數(shù)據(jù)傳輸

  localparam S_STOP          =    'd4;  //數(shù)據(jù)結(jié)束

  localparam S_DOEN          =    'd5;  //數(shù)據(jù)采集完成

  (二). 計(jì)時(shí)周期數(shù)確定

  在時(shí)序圖中,提到了18ms,26-28us,20-40us,50us,70us,80us等等,但是經(jīng)過分析后,并不需要定義這么多個(gè)計(jì)時(shí)周期數(shù),只需要定義兩個(gè)即可。為什么只定義這兩個(gè)就可以了呢?

  在時(shí)序圖中,需要FPGA判斷時(shí)間的,有兩個(gè)位置,一個(gè)是FPGA拉低18ms以上,另外一個(gè)是判斷數(shù)據(jù)表示是數(shù)據(jù)0還是數(shù)據(jù)1。第一個(gè)很清楚就是18ms。數(shù)據(jù)0表示的數(shù)據(jù)位26-28us,為了保險(xiǎn)起見,這里設(shè)置為35us,如果高電平的持續(xù)時(shí)間低于35us,那么就表示數(shù)據(jù)0。

  //時(shí)鐘為50MHZ,20ns

  localparam  TIME18ms = 'd1000_099;    //開始態(tài)的拉低18ms,900_000個(gè)時(shí)鐘周期,這里適當(dāng)?shù)难娱L了拉低時(shí)間。

  localparam  TIME35us = 'd1_750;        //數(shù)據(jù)傳輸過程中,數(shù)據(jù)0拉高的出現(xiàn)

  在編寫代碼的時(shí)候,低電平是不需要處理的,只需要通過下降沿當(dāng)前的傳輸狀態(tài)即可。例如說在dht11響應(yīng)的階段,只需要判斷是否產(chǎn)生了下降沿,至于其高電平和低電平各種持續(xù)了多長的時(shí)間,這個(gè)可以忽略。

  (三). 狀態(tài)轉(zhuǎn)移編寫

  從狀態(tài)轉(zhuǎn)移條件可以看到,都是通過下降沿,和周期計(jì)數(shù)來作為條件進(jìn)行轉(zhuǎn)移的。

  always@(*)

  begin

  case(state)

  S_IDLE:

  if(dht11_req == 1'b1)   //數(shù)據(jù)采集請(qǐng)求過來進(jìn)入開始態(tài)

  next_state <= S_START_FPGA;

  else

  next_state <= S_IDLE;

  S_START_FPGA:

  if((DHT11_Cnt >= TIME18ms) && dht11_negedge == 1'b1)   //FPGA請(qǐng)求結(jié)束結(jié)束

  next_state <= S_START_DHT11;

  else

  next_state <= S_START_FPGA;

  S_START_DHT11:

  if((DHT11_Cnt > TIME35us) && dht11_negedge == 1'b1)  //延時(shí)一段時(shí)間后,通過判斷dht11總線的下降沿,是否結(jié)束響應(yīng)

  next_state <= S_DATA;

  else

  next_state <= S_START_DHT11;

  S_DATA:

  if(DHT11Bit_Cnt == 'd39 && dht11_negedge == 1'b1)  //接收到40bit數(shù)據(jù)后,進(jìn)入停止態(tài)

  next_state <= S_STOP;

  else

  next_state <= S_DATA;

  S_STOP:

  if(DHT11_Cnt == TIME35us + TIME35us)  //數(shù)據(jù)傳輸完成后,等待總線拉低50us,這里是70us

  next_state <= S_DOEN;

  else

  next_state <= S_STOP;

  S_DOEN:

  next_state <= S_IDLE;

  default: next_state <= S_IDLE;

  endcase

  end

  (四). 采集數(shù)據(jù)存儲(chǔ)

  根據(jù)手冊(cè)的指示,先發(fā)送高位,后發(fā)送低位,按照條件來進(jìn)行存儲(chǔ)即可。

  /*接收數(shù)據(jù)存儲(chǔ)*/

  always@(posedge sys_clk or negedge rst_n)

  begin

  if(rst_n == 1'b0)

  dht11_data <= 'd0;

  else if(state == S_DATA)

  if((DHT11_Cnt <= TIME35us + 'd3000) && dht11_negedge == 1'b1) //'d3000為低電平時(shí)間(這個(gè)是有必要的),高電平持續(xù)時(shí)間低于35us認(rèn)為是數(shù)據(jù)0

  dht11_data <= {dht11_data[38:0],1'b0};

  else if(dht11_negedge == 1'b1)

  dht11_data <= {dht11_data[38:0],1'b1};

  else

  dht11_data <= dht11_data;

  else

  dht11_data <= dht11_data;

  end

  最后通過signal tap獲取到的數(shù)據(jù)如下。

  微信圖片_20220907142744.png

  計(jì)數(shù)校驗(yàn)和,2C + 02 + 1C + 06 = 50,可以知道數(shù)據(jù)采集正確。

 

  更多信息可以來這里獲取==>>電子技術(shù)應(yīng)用-AET<<

微信圖片_20210517164139.jpg

微信截圖_20220708161426.png

電子技術(shù)應(yīng)用專欄作家 FPGA之旅

原文鏈接:https://mp.weixin.qq.com/s/D2uBEG6cA4Q9kqO6mWcsgA

本站內(nèi)容除特別聲明的原創(chuàng)文章之外,轉(zhuǎn)載內(nèi)容只為傳遞更多信息,并不代表本網(wǎng)站贊同其觀點(diǎn)。轉(zhuǎn)載的所有的文章、圖片、音/視頻文件等資料的版權(quán)歸版權(quán)所有權(quán)人所有。本站采用的非本站原創(chuàng)文章及圖片等內(nèi)容無法一一聯(lián)系確認(rèn)版權(quán)者。如涉及作品內(nèi)容、版權(quán)和其它問題,請(qǐng)及時(shí)通過電子郵件或電話通知我們,以便迅速采取適當(dāng)措施,避免給雙方造成不必要的經(jīng)濟(jì)損失。聯(lián)系電話:010-82306118;郵箱:aet@chinaaet.com。