《電子技術(shù)應(yīng)用》
您所在的位置:首頁 > 模擬設(shè)計(jì) > 業(yè)界動(dòng)態(tài) > 新思科技與三星開展合作,釋放三星在最先進(jìn)節(jié)點(diǎn)工藝優(yōu)勢(shì)

新思科技與三星開展合作,釋放三星在最先進(jìn)節(jié)點(diǎn)工藝優(yōu)勢(shì)

2021-01-13
來源:美通社

新思科技三星基于Fusion Design Platform開展合作,充分釋放三星在最先進(jìn)節(jié)點(diǎn)工藝的優(yōu)勢(shì)

經(jīng)過認(rèn)證的流程為開發(fā)者提供了一整套針對(duì)時(shí)序和提取的業(yè)界領(lǐng)先數(shù)字實(shí)現(xiàn)和簽核解決方案

新思科技Fusion Design Platform能夠?qū)崿F(xiàn)業(yè)界最佳結(jié)果質(zhì)量和最短交付時(shí)間,加快高性能計(jì)算設(shè)計(jì)周期

新思科技(Synopsys, Inc., 納斯達(dá)克股票代碼:SNPS)近期宣布與三星開展合作,基于新思科技Fusion Design Platform提供經(jīng)認(rèn)證的數(shù)字實(shí)現(xiàn)、時(shí)序和物理簽核參考流程,以加速高性能計(jì)算(HPC)設(shè)計(jì)。通過該全新的經(jīng)認(rèn)證參考流程,開發(fā)者可以利用新思平臺(tái)的自動(dòng)化功能和集成優(yōu)勢(shì)來提高其工作效率,同時(shí)在三星的先進(jìn)工藝節(jié)點(diǎn)上實(shí)現(xiàn)其設(shè)計(jì)目標(biāo)。

作為新思科技Fusion Design平臺(tái)的一部分,Design Compiler NXT、IC Compiler II以及Fusion Compiler等解決方案新增了創(chuàng)新功能,性能得到進(jìn)一步提升,能夠賦能共同客戶充分利用三星的先進(jìn)工藝技術(shù),實(shí)現(xiàn)最佳功耗、性能和面積(PPA)指標(biāo),同時(shí)加快其設(shè)計(jì)的交付時(shí)間?;赟tarRC?簽核提取與PrimeTime簽核延遲計(jì)算引擎在平臺(tái)中的融合,HPC參考流程可以提供可預(yù)測(cè)、已收斂的設(shè)計(jì)閉合,實(shí)現(xiàn)零設(shè)計(jì)余量,并通過三星的先進(jìn)工藝技術(shù)最大限度地提高PPA收益。

三星電子制造設(shè)計(jì)技術(shù)部副總裁Sangyun Kim表示:“我們的共同客戶對(duì)采用先進(jìn)工藝的HPC設(shè)計(jì)認(rèn)證參考流程的需求越來越大,我們與新思科技開展廣泛合作,讓HPC數(shù)字實(shí)現(xiàn)和簽核流程能夠利用Fusion Design Platform的最新技術(shù),為我們的先進(jìn)工藝節(jié)點(diǎn)提供可預(yù)測(cè)的高質(zhì)量流程?!?/p>

下一代HPC設(shè)計(jì)對(duì)于時(shí)鐘目標(biāo)頻率、功耗和利用率的要求極具挑戰(zhàn)性,并需要支持最先進(jìn)的工藝結(jié)構(gòu)。新思科技的Fusion Design Platform為解決這些挑戰(zhàn)提供了創(chuàng)新功能,如時(shí)鐘和數(shù)據(jù)并發(fā)優(yōu)化、時(shí)序簽核和基于路徑的時(shí)序分析、多源時(shí)鐘樹綜合、hash 過孔支持、自由形式宏單元布局、以及面向下一代HPC設(shè)計(jì)的機(jī)器學(xué)習(xí)技術(shù)。HPC參考流程提供了完整全面的方法論,包含一整套經(jīng)過三星和新思科技聯(lián)合驗(yàn)證的文檔化流程和設(shè)計(jì)示例。

新思科技設(shè)計(jì)集團(tuán)系統(tǒng)解決方案和生態(tài)系統(tǒng)支持高級(jí)副總裁Charles Matar表示: “我們與三星的早期合作有助于我們的共同客戶基于三星的最先進(jìn)工藝節(jié)點(diǎn),充分利用新思的先進(jìn)技術(shù)和解決方案“。。新思科技Fusion Design Platform的先進(jìn)功能提供了卓越的結(jié)果質(zhì)量和交付時(shí)間優(yōu)勢(shì),助力我們的共同客戶實(shí)現(xiàn)與眾不同的高性能計(jì)算設(shè)計(jì)。”

在2020年10月28日舉行的三星先進(jìn)晶圓代工生態(tài)系統(tǒng) (SAFE) 論壇上,新思科技的專家們深入解析了針對(duì)三星先進(jìn)工藝節(jié)點(diǎn)而優(yōu)化的HPC設(shè)計(jì)參考流程的全新功能。


本站內(nèi)容除特別聲明的原創(chuàng)文章之外,轉(zhuǎn)載內(nèi)容只為傳遞更多信息,并不代表本網(wǎng)站贊同其觀點(diǎn)。轉(zhuǎn)載的所有的文章、圖片、音/視頻文件等資料的版權(quán)歸版權(quán)所有權(quán)人所有。本站采用的非本站原創(chuàng)文章及圖片等內(nèi)容無法一一聯(lián)系確認(rèn)版權(quán)者。如涉及作品內(nèi)容、版權(quán)和其它問題,請(qǐng)及時(shí)通過電子郵件或電話通知我們,以便迅速采取適當(dāng)措施,避免給雙方造成不必要的經(jīng)濟(jì)損失。聯(lián)系電話:010-82306118;郵箱:aet@chinaaet.com。