《電子技術(shù)應(yīng)用》
您所在的位置:首頁 > 可編程邏輯 > 設(shè)計(jì)應(yīng)用 > 基于CPLD系統(tǒng)的信號發(fā)生器設(shè)計(jì)
基于CPLD系統(tǒng)的信號發(fā)生器設(shè)計(jì)
電子元器件應(yīng)用
李曙俏,陳羲梅 中國礦業(yè)大學(xué)
摘要: 本文介紹了用CPLD開發(fā)板結(jié)合D/A芯片輸出模擬信號,實(shí)現(xiàn)了信號發(fā)生器功能。作為信號發(fā)生器時,能夠產(chǎn)生三角波、正弦波、鋸齒波和方波四種不同的波形,并可以通過開發(fā)板上的按鍵來控制頻率和波幅的調(diào)節(jié)。本設(shè)計(jì)通過VGA顯示,用戶界面友好,操作便捷,有較強(qiáng)的實(shí)用性。
Abstract:
Key words :

摘要:文中采用Quartus II開發(fā)平臺,基于可編程邏輯器件CPLD" title="CPLD">CPLD設(shè)計(jì)出多波形信號發(fā)生器" title="信號發(fā)生器">信號發(fā)生器,可輸出頻率、幅度可調(diào)的三角波、正弦波和方波。任意波形模塊可由用戶輸出用戶所需的特殊波形,滿足了教學(xué)實(shí)驗(yàn)和開發(fā)新的實(shí)驗(yàn)項(xiàng)目對特殊波形的要求。整個設(shè)計(jì)采用VHDL編程實(shí)現(xiàn),其設(shè)計(jì)過程簡單,極易修改,可移植性強(qiáng)。另外,CPLD還具有可編程重置特性,因而可以方便地更換波形數(shù)據(jù),且簡單易行。
關(guān)鍵詞:信號發(fā)生器:CPLD;D/A轉(zhuǎn)換" title="D/A轉(zhuǎn)換">D/A轉(zhuǎn)換

    CPLD復(fù)雜可編程邏輯器件,是從PAL和GAL器件發(fā)展出來的器件,相對而言規(guī)模大,結(jié)構(gòu)復(fù)雜,屬于大規(guī)模集成電路范圍,是一種用戶可根據(jù)需要而自行構(gòu)造邏輯功能的數(shù)字集成電路。各種波形曲線均可以用三角函數(shù)方程式來表示,能夠產(chǎn)生多種波形,如三角波、鋸齒波、矩形波(含方波)、正弦波的電路被稱為函數(shù)信號發(fā)生器。函數(shù)信號發(fā)生器在電路實(shí)驗(yàn)和設(shè)備檢測中具有十分廣泛的用途。

1 系統(tǒng)設(shè)計(jì)
1.1 方案比較
    方案1:直接頻率合成法
    直接頻率合成技術(shù)即為用多個晶體分別產(chǎn)生不同頻率的振蕩信號,再經(jīng)過具有四則運(yùn)算功能的混頻器、倍頻器、分頻器和不同頻率的濾波器組合而成,用以實(shí)現(xiàn)特定頻率信號的產(chǎn)生輸出。
    優(yōu)點(diǎn):頻率轉(zhuǎn)換時間短,理論上可產(chǎn)生任意小的頻率間隔。
    缺點(diǎn):由于其利用晶體產(chǎn)生的信號經(jīng)過運(yùn)算而成,所以其頻率間隔不能太多;由于采用了大量的倍頻器、分頻器以及混頻器,所以其輸出的波形信號附帶的噪聲較大;并且設(shè)備龐大,制造成本較高。
    方案2:鎖相頻率合成
    利用鎖相環(huán)的良好的窄帶跟蹤性能,可使頻率準(zhǔn)確的鎖定在參考頻率或其N次諧波上,并使被鎖定頻率具有與參考頻率一致的頻率穩(wěn)定度和較高的頻率純度。它由基準(zhǔn)頻率產(chǎn)生器和鎖相環(huán)路兩部分組成。
    優(yōu)點(diǎn):結(jié)構(gòu)簡單,輸出頻率純度高,易于得到大量的離散頻率。
    缺點(diǎn):瞬時頻率穩(wěn)定度較差,頻率轉(zhuǎn)換時間較長。
    方案3:直接數(shù)字頻率合成技術(shù)(DDS)
    直接數(shù)字頻率合成技術(shù)完全擺脫了傳統(tǒng)的頻率合成技術(shù)的思路,即是通過對相位的運(yùn)算來進(jìn)行頻率合成的,它的主要理論依據(jù)是Nyquist抽樣定理對模擬信號進(jìn)行采樣,經(jīng)量化后存入存儲器中,再通過尋址查找表輸出波形數(shù)據(jù),經(jīng)DAC及濾波后恢復(fù)原波形來實(shí)現(xiàn)頻率合成的。
    優(yōu)點(diǎn):利用DDS頻率合成技術(shù)的器件功耗低,體積小,其工作頻率范圍寬,頻率分辨率極高,頻率轉(zhuǎn)換時間極短。
    缺點(diǎn):由于受限于器件可用的最高時鐘頻率,輸出頻率上限不能太高;由相位量化噪聲和幅度量化噪聲所形成的總輸出噪聲電平很高。
1.2 方案選擇
    以上三種頻率合成技術(shù)是現(xiàn)代頻率合成技術(shù)的基礎(chǔ),在性能上各有特點(diǎn),相互之間起到了很好的互補(bǔ)作用。本文綜合三種技術(shù)采用的方案為:用CPLD開發(fā)板結(jié)合D/A芯片輸出模擬信號,實(shí)現(xiàn)信號發(fā)生器功能。作為信號發(fā)生器時,能夠產(chǎn)生三角波、正弦波和方波三種不同的波形,并且可以通過開發(fā)板上的按鍵來控制頻率和波幅的調(diào)節(jié)。
1.3 方案的總體框圖
    圖1所示為系統(tǒng)的整體框圖。圖1中,控制模塊包括三個部分:外界輸入、命令分析和輸出指令。信號發(fā)生器模塊也包括指令輸入、選擇波形產(chǎn)生和輸出序列三個部分,其中在選擇波形產(chǎn)生中決定選擇波形的程序以及確定頻率和幅度的大小。

a.JPG



2 各模塊功能和實(shí)現(xiàn)
2.1 交互模塊
    交互模塊的主要功能是按鍵輸入,交互模塊接口電路圖如圖2所示。

b.JPG


2.2 控制模塊
    控制模塊的主要功能是接受按鍵信號,控制各模塊輸出數(shù)據(jù)格式其接口電路圖如圖3所示。
2.3 信號發(fā)生器模塊
    該模塊實(shí)現(xiàn)信號發(fā)生器功能,接受控制模塊數(shù)據(jù),處理并輸出給D/A芯片,信號發(fā)生器模塊接口電路圖如圖4所示。

c.JPG


    數(shù)據(jù)處理模塊將從控制模塊接受到的數(shù)據(jù)轉(zhuǎn)化成波形、頻率、波幅信息,并將處理后的數(shù)據(jù)轉(zhuǎn)交給數(shù)據(jù)輸出模塊。
2.4 顯示模塊
    SPI是串行外圍設(shè)備接口,SPI接口主要應(yīng)用在EEPROM、FLASH、實(shí)時時鐘、AD轉(zhuǎn)換器,還有數(shù)字信號處理器和數(shù)字信號解碼器之間。Spi接口模塊電路圖如圖5所示。

d.JPG



3 結(jié)束語
    本文介紹了用CPLD開發(fā)板結(jié)合D/A芯片輸出模擬信號,實(shí)現(xiàn)了信號發(fā)生器功能。作為信號發(fā)生器時,能夠產(chǎn)生三角波、正弦波、鋸齒波和方波四種不同的波形,并可以通過開發(fā)板上的按鍵來控制頻率和波幅的調(diào)節(jié)。本設(shè)計(jì)通過VGA顯示,用戶界面友好,操作便捷,有較強(qiáng)的實(shí)用性。

此內(nèi)容為AET網(wǎng)站原創(chuàng),未經(jīng)授權(quán)禁止轉(zhuǎn)載。