《電子技術(shù)應(yīng)用》
您所在的位置:首頁(yè) > 模擬設(shè)計(jì) > 設(shè)計(jì)應(yīng)用 > 一種基于CPLD的QDPSK調(diào)制解調(diào)電路設(shè)計(jì)
一種基于CPLD的QDPSK調(diào)制解調(diào)電路設(shè)計(jì)
摘要: 為了在CDMA系統(tǒng)中更好地應(yīng)用QDPSK數(shù)字調(diào)制方式,在分析四相相對(duì)移相(QDPSK)信號(hào)調(diào)制解調(diào)原理的基礎(chǔ)上,設(shè)計(jì)了一種QDPSK調(diào)制解調(diào)電路,它包括串并轉(zhuǎn)換、差分編碼、四相載波產(chǎn)生和選相、相干解調(diào)、差分譯碼和并串轉(zhuǎn)換電路。在MAX+PLUSII軟件平臺(tái)上,進(jìn)行了編譯和波形仿真。綜合后下載到復(fù)雜可編程邏輯器件EPM7128SLC84-15中,測(cè)試結(jié)果表明,調(diào)制電路能正確選相,解調(diào)電路輸出數(shù)據(jù)與QDPSK調(diào)制輸入數(shù)據(jù)完全一致,達(dá)到了預(yù)期的設(shè)計(jì)要求。
Abstract:
Key words :

隨著無(wú)線通信頻帶資源的日益緊張,研究和設(shè)計(jì)自適應(yīng)信道調(diào)制技術(shù)體制是建立寬帶移動(dòng)通信網(wǎng)絡(luò)的關(guān)鍵之一。在寬帶CDMA系統(tǒng)中的前向和上行鏈路都使用的QDPSK(四相相對(duì)相位調(diào)制)技術(shù)它是一種寬帶和功率相對(duì)高效率的信道調(diào)制技術(shù),因此在自適應(yīng)信道調(diào)制技術(shù)中得到了較多應(yīng)用。四相相對(duì)相位調(diào)制和解調(diào),大多采用計(jì)算機(jī)仿真實(shí)現(xiàn)或者理論算法研究,具體應(yīng)用電路較少。CPLD(復(fù)雜可編程邏輯器件)采用E2CMOS工藝制作,一般由3種可編程電路組成,即可編程邏輯宏單元,可編程輸入/輸出單元和可編程內(nèi)部連線。它可利用EDA技術(shù)中的MAX+PLUSII作為開(kāi)發(fā)工具,將設(shè)計(jì)的電路圖或硬件描述語(yǔ)言編寫(xiě)的程序綜合成網(wǎng)表文件寫(xiě)入其中,制成ASIC芯片。利用CPLD的突出優(yōu)點(diǎn)設(shè)計(jì)的QDPSK調(diào)制解調(diào)電路集成度高,數(shù)據(jù)速率快,同時(shí)具有較大的靈活性和實(shí)用性。

1 QDPSK調(diào)制解調(diào)的原理
    QPSK(四進(jìn)制絕對(duì)移相鍵控)與2PSK不同,是利用載波的4種不同相位來(lái)表征數(shù)字信息,即對(duì)輸入的二進(jìn)制數(shù)字序列先分組,將每2個(gè)比特編為一組,然后用4種不同的載波相位進(jìn)行表征。
    在2PSK(二進(jìn)制絕對(duì)移相鍵控)信號(hào)相干解調(diào)過(guò)程中會(huì)產(chǎn)生180°相位模糊,同樣,對(duì)QPSK信號(hào)相干解調(diào)也會(huì)產(chǎn)生相位模糊問(wèn)題,并且是0°、90°、180°和270°等4個(gè)相位模糊。因此,在實(shí)際中更實(shí)用的是四相相對(duì)移相調(diào)制,即QDPSK方式。
    QDPSK信號(hào)是利用前后碼元之間的相對(duì)相位變化來(lái)表示數(shù)字信息。實(shí)現(xiàn)四相差分移相調(diào)制的方法有正交調(diào)幅法和相位選擇法。相位選擇法QDPSK調(diào)制器具有硬件實(shí)現(xiàn)簡(jiǎn)單、價(jià)格低等優(yōu)點(diǎn),被廣泛采用,并且這種調(diào)制器非常適合數(shù)字電路實(shí)現(xiàn)。圖1為用相位選擇法產(chǎn)生QDPSK信號(hào)的組成框圖。


    圖1中首先把二進(jìn)制數(shù)據(jù)流經(jīng)串/并變換,割裂成并列的2行,每串?dāng)?shù)據(jù)的速率是原數(shù)據(jù)速率的一半;然后對(duì)2路信號(hào)進(jìn)行差分編碼;四相載波發(fā)生器分別送出調(diào)相所需的4種不同相位的載波。按照串/并變換器輸出的雙比特碼元的不同,邏輯選相電路輸出相位的載波。
    例如,如果輸入的二進(jìn)制數(shù)字信息序列為1001001110…,則可以將它們分成10,01,00,11,…,由于每一個(gè)載波相位代表2個(gè)比特信息,所以每個(gè)四進(jìn)制碼元又被稱(chēng)為雙比特碼元。差分編碼后雙比特碼元cd為11時(shí),輸出相位為0°的載波;cd為01時(shí),輸出相位為90°的載波;cd為00時(shí),輸出相位為180°的載波;cd為10時(shí).輸出相位為270°的載波。
    QDPSK的解調(diào)有相干解調(diào)加碼反變換法(極性比較法)和差分相干解調(diào)(相位比較法)。QDPSK相干解調(diào)加碼反變換法解調(diào)框圖如圖2所示。


    圖2所示解調(diào)原理是:對(duì)QDPSK信號(hào)進(jìn)行相干解調(diào),恢復(fù)出2路相對(duì)碼,經(jīng)過(guò)碼反變換器變換為2路絕對(duì)碼,再經(jīng)過(guò)并/串轉(zhuǎn)換器,從而恢復(fù)出發(fā)送的數(shù)字信息。在解調(diào)過(guò)程中,由于載波相位模糊性的影響,使得解調(diào)出的相對(duì)碼也可能發(fā)生倒置,但經(jīng)差分澤碼(碼反變換)得到的絕對(duì)碼不會(huì)發(fā)生任何倒置的現(xiàn)象,從而解決了載波相位模糊性的問(wèn)題。

2 基于CPLD的QDPSK調(diào)制解調(diào)電路
2.1 QDPSK調(diào)制電路

    基于CPLD的QDPSK調(diào)制電路如圖3所示。


    圖3所示QDPSK調(diào)制電路引腳關(guān)系為:引腳k1表示數(shù)據(jù)時(shí)鐘clk經(jīng)過(guò)計(jì)數(shù)器4count的八分頻輸出,引腳k2表示數(shù)據(jù)時(shí)鐘k1的二分頻輸出,引腳ac和bc表示數(shù)據(jù)時(shí)鐘clk的二分頻輸出和二分頻反相輸出,引腳c0和c2表示數(shù)據(jù)時(shí)鐘ac的二分頻輸出和二分頻反相輸出,引腳c1和c3表示數(shù)據(jù)時(shí)鐘bc的二分頻輸出和二分頻反相輸出,c0、c1、c2和c3組成四相載波。引腳p1和p2表示輸入信碼數(shù)據(jù)data在時(shí)鐘的作用下串并轉(zhuǎn)換后的輸出。引腳cx和cy表示信碼數(shù)據(jù)串并轉(zhuǎn)換后,在同步時(shí)鐘的作用下差分編碼后的輸出,引腳qdpsk表示輸入的信碼數(shù)據(jù)在同步時(shí)鐘的作用下四相差分移相鍵控信號(hào)輸出。
    圖3所示電路器件均可從MAX+PLUSⅡ器件庫(kù)中調(diào)用。其中二分頻電路涮用1個(gè)D觸發(fā)器和1個(gè)非門(mén)組成;串并轉(zhuǎn)換電路調(diào)用4個(gè)D觸發(fā)器組成;差分編碼電路調(diào)用2個(gè)二異或門(mén)、2個(gè)D觸發(fā)器、4個(gè)2與門(mén)、2個(gè)或非門(mén)和2個(gè)非門(mén)組成:四相選相電路調(diào)用1個(gè)74153組成。調(diào)制電路在MAX+PLUSⅡ平臺(tái)上編譯、仿真通過(guò)后,打包產(chǎn)生qdpskb組件。
2.2 QDPSK解調(diào)電路
   
基于CPLD設(shè)計(jì)的QDPSK解調(diào)電路如圖4所示。


    圖4所示QDPSK解調(diào)電路引腳關(guān)系為:引腳clk表示恢復(fù)的數(shù)據(jù)時(shí)鐘,引腳k1表示數(shù)據(jù)時(shí)鐘clk經(jīng)過(guò)計(jì)數(shù)器4count的八分頻輸出,引腳k2表示數(shù)據(jù)時(shí)鐘k1的二分頻輸出,引腳ac和bc表示數(shù)據(jù)時(shí)鐘clk的二分頻輸出和二分頻反相輸出,引腳c0和c2表示數(shù)據(jù)時(shí)鐘ac的二分頻輸出和二分頻反相輸出,引腳c1和c3表示數(shù)據(jù)時(shí)鐘bc的二分頻輸出和二分頻反相輸出,c0、c1、c2和c3組成四相載波。引腳qdpsk表示四相差分移相鍵控信號(hào)輸入,引腳qx和qy表示四相差分移相鍵控信號(hào)的相干解調(diào)輸出。引腳x和y表示相干解調(diào)輸出在同步時(shí)鐘的作用下差分譯碼后的輸出,引腳out表示信碼差分譯碼后經(jīng)并串轉(zhuǎn)換恢復(fù)的信碼數(shù)據(jù)輸出。
    電路器件從MAX+PLUSⅡ器件庫(kù)中調(diào)用。其中二分頻電路調(diào)用1個(gè)D觸發(fā)器和1個(gè)非門(mén)組成;相干解調(diào)電路調(diào)用3個(gè)異或門(mén)、6個(gè)D觸發(fā)器組成;差分譯碼電路調(diào)用2個(gè)D觸發(fā)器、3個(gè)異或門(mén)、5個(gè)非門(mén)、4個(gè)與門(mén)和2個(gè)或非門(mén)組成;并串轉(zhuǎn)換電路調(diào)用2個(gè)非門(mén)、2個(gè)與門(mén)、1個(gè)或門(mén)和1個(gè)D觸發(fā)器組成。解調(diào)電路在MAX+PLUSⅡ平臺(tái)上編譯、仿真通過(guò)后,打包產(chǎn)生qdpsky組件。
2.3 利用CPLD設(shè)計(jì)的QDPSK調(diào)制解調(diào)電路
   
將設(shè)計(jì)的QDPSK調(diào)制電路“qdpskb”組件和解調(diào)電路“qdpsky”組件連接在一起,為了仿真實(shí)驗(yàn)方便,信碼數(shù)據(jù)輸入采用四級(jí)偽隨機(jī)碼電路——從MAX+PLUSⅡ器件庫(kù)中調(diào)用4個(gè)D觸發(fā)器、2個(gè)異或門(mén)和1個(gè)四或非門(mén),解調(diào)電路中位定時(shí)恢復(fù)電路產(chǎn)生的數(shù)據(jù)時(shí)鐘直接采用qdpsk調(diào)制電路數(shù)據(jù)時(shí)鐘,調(diào)制解調(diào)電路直接相連,組成的QDPSK調(diào)制解調(diào)電路如圖5所示。


    QDPSK調(diào)制解調(diào)電路的引腳關(guān)系為:引腳clk表示數(shù)據(jù)時(shí)鐘輸入,引腳k1表示數(shù)據(jù)時(shí)鐘clk的八分頻輸出,引腳data表示四級(jí)偽隨機(jī)碼電路數(shù)據(jù)輸出,引腳c0、c1、c2、c3表示四相載波。引腳p1和p2表示信碼數(shù)據(jù)data在時(shí)鐘的作用下串并轉(zhuǎn)換后的輸出,引腳cx和cy表示差分編碼后的輸出,引腳qdpsk表示四相差分移相鍵控信號(hào)輸出。引腳qx和qy表示四相差分移相鍵控信號(hào)的相干解調(diào)輸出,引腳x和y表示差分譯碼后的輸出,引腳out表示信碼差分譯碼后經(jīng)并串轉(zhuǎn)換恢復(fù)的信碼數(shù)據(jù)輸出。

3 實(shí)驗(yàn)結(jié)果
   
利用MAX+PLUSⅡ開(kāi)發(fā)工具建立波形輸入文件,在File菜單里面選擇New打開(kāi)新建文件類(lèi)型對(duì)話框,選擇Waveform Editor File項(xiàng),單擊“OK”。在波形編輯器窗口的Name下單擊鼠標(biāo)右鍵,出現(xiàn)浮動(dòng)的菜單,選擇Enter Nodesfrom SNF…可以打開(kāi)“從SNF文件輸入觀測(cè)點(diǎn)”的對(duì)話框。在Type區(qū)選擇Input和Output,在默認(rèn)的情況下是打開(kāi)的,單擊“List”按鈕,可在Available Nodes&Groups區(qū)看到設(shè)計(jì)文件中使用的輸入/輸出信號(hào),單擊“=>”按鈕可以將這些信號(hào)選擇到Selected N0des&Groups區(qū)。單擊“OK”按鈕,關(guān)閉對(duì)話框即可看到波形編輯窗口,將此波形文件保存為默認(rèn)名。在波形文件中添加輸入/輸出信號(hào)名后,就可以開(kāi)始對(duì)輸入信號(hào)建立波形了。QDPSK調(diào)制解調(diào)電路仿真波形如圖6所示。


    圖6中“clk”表示輸入時(shí)鐘,“c0”、“c1”、“c2”、“c3”表示四相載波.“k1”表示數(shù)據(jù)時(shí)鐘clk的八分頻輸出,“data”表示
四級(jí)偽隨機(jī)碼電路數(shù)據(jù)輸出,“p1”、“p2”表示信碼數(shù)據(jù)data串并轉(zhuǎn)換后的輸出,“cx”、“cy”表示信碼數(shù)據(jù)串并轉(zhuǎn)換后差分編碼的輸出,“qdpsk”表示輸入的信碼數(shù)據(jù)四相差分移相鍵控信號(hào)輸出。“qx”、“qy”表示四相差分移相鍵控信號(hào)的相干解調(diào)輸出,“x”、“y”表示相干解調(diào)輸出后差分譯碼輸出,“out”表示信碼差分譯碼后經(jīng)并串轉(zhuǎn)換恢復(fù)的信碼數(shù)據(jù)輸出。
    仿真結(jié)果表明:QDPSK調(diào)制電路能正確選相,解調(diào)電路輸出數(shù)據(jù)在延時(shí)約5.2μs后,與QDPSK調(diào)制輸入數(shù)據(jù)完全一致。
    將綜合后生成的網(wǎng)表文件通過(guò)ByteBlaste下載電纜,以在線配置的方式下載到CPLD器件EPM7128SLC84-15中,從而完成了器件的編程。上電后,在輸入端加入數(shù)據(jù)時(shí)鐘,用數(shù)字存儲(chǔ)示波器測(cè)試調(diào)制解調(diào)電路輸出,實(shí)測(cè)結(jié)果完全正確,表明達(dá)到了設(shè)計(jì)要求。

4 結(jié)論
   
本文利用CPLD器件,設(shè)計(jì)出的QDPSK調(diào)制解調(diào)電路,利用了EDA技術(shù)中的MAX+PLUSⅡ作為開(kāi)發(fā)工具,將設(shè)計(jì)的電路圖綜合成網(wǎng)表文件寫(xiě)入其中,制成ASIC芯片。其突出優(yōu)點(diǎn)是自頂向下設(shè)計(jì),查找和修改錯(cuò)誤方便,同時(shí)先仿真,正確后再下載測(cè)試并應(yīng)用,具有較大的靈活性;調(diào)制和解調(diào)放在一塊芯片上,集成度非常高;解調(diào)電路輸出數(shù)據(jù)延時(shí)約5.2μs,數(shù)據(jù)速率快。本文提出的QDPSK調(diào)制解調(diào)電路,已應(yīng)用于小型數(shù)據(jù)傳輸系統(tǒng)中。

此內(nèi)容為AET網(wǎng)站原創(chuàng),未經(jīng)授權(quán)禁止轉(zhuǎn)載。