《電子技術(shù)應(yīng)用》
您所在的位置:首頁 > 模擬設(shè)計 > 設(shè)計應(yīng)用 > VHDL語言為核心的EDA技術(shù)在醫(yī)學中的應(yīng)用方案
VHDL語言為核心的EDA技術(shù)在醫(yī)學中的應(yīng)用方案
摘要: 在此將VHDL語言設(shè)計的計數(shù)器應(yīng)用于脈搏測量,精確的計量出脈搏跳動,并通過數(shù)碼管直觀地表示出來。顯示出VHDL語言設(shè)計數(shù)字系統(tǒng)與醫(yī)學的緊密聯(lián)系及其在醫(yī)療實踐中的巨大應(yīng)用前景。
Abstract:
Key words :

  0 引言

  VHDL超高速集成電路硬件描述語言是隨著集成電路系統(tǒng)化和高度集成化逐步發(fā)展起來的,是一種用于數(shù)字系統(tǒng)設(shè)計、測試,面向多領(lǐng)域、多層次的IEEE標準硬件描述語言。它從20世紀70年代作為電路設(shè)計工具誕生于美國國防部至今,已經(jīng)成為十分流行的硬件描述工具,并且為大多數(shù)EDA工具所支持。隨著電子技術(shù)的不斷進步,數(shù)字系統(tǒng)的設(shè)計正朝著高速度、大容量、小體積的方向發(fā)展。傳統(tǒng)的自底而上的模式已不能滿足芯片和系統(tǒng)的設(shè)計要求。為了提高設(shè)計效率,能夠簡化設(shè)計流程,大幅降低設(shè)計難度的VHDL設(shè)計方法受到廣泛關(guān)注。VHDL與其他傳統(tǒng)集成電路描述語言相比,具有明顯優(yōu)勢:

 ?。?)功能強大,描述力強。可用于門級、電路級甚至系統(tǒng)級的描述、仿真和設(shè)計。

  (2)可移植性好。對于設(shè)計和仿真工具及不同的平臺均可采用相同的描述。

 ?。?)研制周期短,成本低。

  (4)可以延長設(shè)計的生命周期。

  (5)具有電路仿真與驗證功能,用戶甚至不必編寫相量測試即可進行源代碼級調(diào)試。設(shè)計者能夠跳過電路實驗,直接對各種方案進行比較和選擇,使設(shè)計效率得以提高。

  (6)對設(shè)計的描述具有相對獨立性。

  (7)語言標準、規(guī)范,易于共享和復用。

  目前,VHDL滲透了電子技術(shù)及其相關(guān)的各個工業(yè)領(lǐng)域,在工業(yè)設(shè)計中發(fā)揮著日益重要的作用。在世界范圍內(nèi),關(guān)于VHDL在多個領(lǐng)域尤其在芯片,系統(tǒng)設(shè)計方面的應(yīng)用研究已經(jīng)取得眾多矚目成果。

  而將VHDL與醫(yī)學相結(jié)合,勢必成為電子自動化設(shè)計(EDA)一個全新的研究方向,本文主要研究將EDA通過VHDL應(yīng)用于醫(yī)學,以對脈搏的測量為例,以實現(xiàn)數(shù)字系統(tǒng)對人體多種生理活動及生理反應(yīng)的直觀精確測量。

  1 實驗設(shè)計

  1.1 EDA技術(shù)在醫(yī)學教學中脈搏測量中的應(yīng)用探討

  在臨床診斷,護理學等中介紹脈搏的測量時認為脈搏很容易在手腕掌面外側(cè)跳動的橈動脈上摸到,也可測量頸部的頸動脈或腹股溝的股動脈。其測量方法是病員手臂放于舒適位置,用食指,中指,無名指的指腹端按壓在橈動脈表面,一般病員默數(shù)半分鐘。將所測的脈率乘以2便是一分鐘的脈數(shù),異常病人測一分鐘。成年人的脈搏在安靜狀態(tài)下每分鐘是60~80次。如少于60次是心動過緩。但訓練有素的運動員,脈搏有時也在60次以下,這正是心臟健康有力的表現(xiàn)。如超過100次是心動過速。體力活動或情緒激動時,脈搏可暫時增快,發(fā)燒時脈搏也增快。一般是體溫每升高1℃,脈搏就增加10~20次。此法只能粗略計算脈搏跳動。如將VHDL語言應(yīng)用其中,便可以通過EDA實驗箱中的七段數(shù)碼管直觀準確地看到一分鐘的計數(shù)結(jié)果,并與之前通過醫(yī)學教材講授方法測出結(jié)果相比對,從而判定測量的正確與否。

  1.2 設(shè)計思路

  將脈搏信號通過脈搏傳感器采集進入計算機,而后作為輸入信號,而此信號作為脈沖信號,即如時鐘信號一般,當輸入時計數(shù)器開始計數(shù),如下面設(shè)計一個十進制計數(shù)器的VHDL描述便可用來對所采集的脈搏信號計數(shù)。

  1.3 VHDL設(shè)計流程

  VHDL流程設(shè)計如圖1所示。

  

  0 引言

  VHDL超高速集成電路硬件描述語言是隨著集成電路系統(tǒng)化和高度集成化逐步發(fā)展起來的,是一種用于數(shù)字系統(tǒng)設(shè)計、測試,面向多領(lǐng)域、多層次的IEEE標準硬件描述語言。它從20世紀70年代作為電路設(shè)計工具誕生于美國國防部至今,已經(jīng)成為十分流行的硬件描述工具,并且為大多數(shù)EDA工具所支持。隨著電子技術(shù)的不斷進步,數(shù)字系統(tǒng)的設(shè)計正朝著高速度、大容量、小體積的方向發(fā)展。傳統(tǒng)的自底而上的模式已不能滿足芯片和系統(tǒng)的設(shè)計要求。為了提高設(shè)計效率,能夠簡化設(shè)計流程,大幅降低設(shè)計難度的VHDL設(shè)計方法受到廣泛關(guān)注。VHDL與其他傳統(tǒng)集成電路描述語言相比,具有明顯優(yōu)勢:

 ?。?)功能強大,描述力強。可用于門級、電路級甚至系統(tǒng)級的描述、仿真和設(shè)計。

  (2)可移植性好。對于設(shè)計和仿真工具及不同的平臺均可采用相同的描述。

 ?。?)研制周期短,成本低。

  (4)可以延長設(shè)計的生命周期。

  (5)具有電路仿真與驗證功能,用戶甚至不必編寫相量測試即可進行源代碼級調(diào)試。設(shè)計者能夠跳過電路實驗,直接對各種方案進行比較和選擇,使設(shè)計效率得以提高。

  (6)對設(shè)計的描述具有相對獨立性。

  (7)語言標準、規(guī)范,易于共享和復用。

  目前,VHDL滲透了電子技術(shù)及其相關(guān)的各個工業(yè)領(lǐng)域,在工業(yè)設(shè)計中發(fā)揮著日益重要的作用。在世界范圍內(nèi),關(guān)于VHDL在多個領(lǐng)域尤其在芯片,系統(tǒng)設(shè)計方面的應(yīng)用研究已經(jīng)取得眾多矚目成果。

  而將VHDL與醫(yī)學相結(jié)合,勢必成為電子自動化設(shè)計(EDA)一個全新的研究方向,本文主要研究將EDA通過VHDL應(yīng)用于醫(yī)學,以對脈搏的測量為例,以實現(xiàn)數(shù)字系統(tǒng)對人體多種生理活動及生理反應(yīng)的直觀精確測量。

  1 實驗設(shè)計

  1.1 EDA技術(shù)在醫(yī)學教學中脈搏測量中的應(yīng)用探討

  在臨床診斷,護理學等中介紹脈搏的測量時認為脈搏很容易在手腕掌面外側(cè)跳動的橈動脈上摸到,也可測量頸部的頸動脈或腹股溝的股動脈。其測量方法是病員手臂放于舒適位置,用食指,中指,無名指的指腹端按壓在橈動脈表面,一般病員默數(shù)半分鐘。將所測的脈率乘以2便是一分鐘的脈數(shù),異常病人測一分鐘。成年人的脈搏在安靜狀態(tài)下每分鐘是60~80次。如少于60次是心動過緩。但訓練有素的運動員,脈搏有時也在60次以下,這正是心臟健康有力的表現(xiàn)。如超過100次是心動過速。體力活動或情緒激動時,脈搏可暫時增快,發(fā)燒時脈搏也增快。一般是體溫每升高1℃,脈搏就增加10~20次。此法只能粗略計算脈搏跳動。如將VHDL語言應(yīng)用其中,便可以通過EDA實驗箱中的七段數(shù)碼管直觀準確地看到一分鐘的計數(shù)結(jié)果,并與之前通過醫(yī)學教材講授方法測出結(jié)果相比對,從而判定測量的正確與否。

  1.2 設(shè)計思路

  將脈搏信號通過脈搏傳感器采集進入計算機,而后作為輸入信號,而此信號作為脈沖信號,即如時鐘信號一般,當輸入時計數(shù)器開始計數(shù),如下面設(shè)計一個十進制計數(shù)器的VHDL描述便可用來對所采集的脈搏信號計數(shù)。

  1.3 VHDL設(shè)計流程

  VHDL流程設(shè)計如圖1所示。

  

  現(xiàn)在,計算機輔助工程軟件的供應(yīng)商已把日益通用的硬件描述語言VHDL作為其CAD或EDA軟件輸入與輸出的標準,其中ALTEKA公司提供的綜合工具Max+PlusⅡ,具有全面的邏輯設(shè)計能力,從編輯、綜合、布線到仿真、下載都十分方便。

  2 設(shè)計方案與結(jié)果分析

  VHDL語言設(shè)計十進制計數(shù)器的源程序:

  

  

  在程序輸入完成后,經(jīng)Max+PlusⅡ中的Compiler編譯通過后,可用Stimulator進行仿真,查看仿真結(jié)果,如圖2所示。

  

  也可以加入七段顯示譯碼器的VHDL設(shè)計來通過實驗箱的七段數(shù)碼管掃描顯示計數(shù)結(jié)果仿真結(jié)果如圖3所示。

  

  代碼如下:

  

  

  最終實現(xiàn)的頂層文件原理圖如圖4所示。

  

  頂層文件設(shè)計如圖4所示,通過該文件可以實現(xiàn)譯碼,下載到EDA實驗箱時,便可于實驗箱的數(shù)碼管上讀出相應(yīng)的脈搏數(shù),如圖5所示。

  

  VHDL語言與醫(yī)學內(nèi)容的結(jié)合,除了應(yīng)用于脈搏的測量,還可應(yīng)用于心跳及呼吸等的測量,以及受人體對聲、光刺激后的生理反應(yīng)時間的測定等。電子自動化設(shè)計(EDA)及其相關(guān)技術(shù),在醫(yī)學領(lǐng)域具有巨大的應(yīng)用前景,有待進一步的研究和發(fā)掘。

  3 結(jié)語

  VHDL是一種隨著電子技術(shù)的不斷發(fā)展,為滿足電路系統(tǒng)化和高度集成化要求而發(fā)展起來的一種新型硬件描述語言。VHDL具有廣泛的應(yīng)用范圍,在芯片及電路系統(tǒng)設(shè)計等方面發(fā)揮著日益重要的作用。以VHDL為核心的EDA技術(shù)應(yīng)用于醫(yī)學,能直觀準確的測量人體的脈搏心跳,呼吸等生理活動,以及受到外界刺激的生理反應(yīng)等,在醫(yī)學領(lǐng)域存在廣闊的發(fā)展空間,隨著進一步的探索和實踐,必將對醫(yī)學的發(fā)展起到極大的推動作用。

此內(nèi)容為AET網(wǎng)站原創(chuàng),未經(jīng)授權(quán)禁止轉(zhuǎn)載。