一. 簡介
這是FPGA之旅設(shè)計(jì)的第五例啦!今天給大家?guī)淼氖荌IC通信,IIC協(xié)議應(yīng)用非常廣泛,例如與MPU6050進(jìn)行通信,配置OV5640攝像頭、驅(qū)動OLED屏幕等等,都需要使用到IIC協(xié)議,所以掌握它是非常必要的,廢話不多說,接著往下看。文末獲取完整代碼。
二. IIC簡介
IIC協(xié)議分為主機(jī)和從機(jī),所有的請求都是由主機(jī)發(fā)出,從機(jī)進(jìn)行響應(yīng),從機(jī)是沒有辦法對主機(jī)進(jìn)行讀或?qū)懙?。IIC協(xié)議共有兩根線,數(shù)據(jù)線SDA和時(shí)鐘線SCL,兩根線就可以完成所有的通信請求,簡直是太給力了。
三. IIC協(xié)議
終于到了IIC協(xié)議的部分。IIC協(xié)議簡單來說,共有五種狀態(tài),這五種狀態(tài)的有序組合就組成了完整的IIC通信,學(xué)習(xí)IIC協(xié)議,就是學(xué)習(xí)這五種狀態(tài)。
空閑態(tài): SCL 和 SDA 都為高電平,不進(jìn)行通信的時(shí)候。
起始態(tài):在SCL為高電平的時(shí)候,將SDA拉低,主機(jī)通知從機(jī),開始進(jìn)行通信。
數(shù)據(jù)傳輸態(tài):數(shù)據(jù)傳輸態(tài),又可以分為讀和寫兩個(gè)部分,過程都是一樣的,就合在一起了,都是在SCL為低電平的時(shí)候,SDA將數(shù)據(jù)發(fā)送,在SCL為高電平的時(shí)候,將數(shù)據(jù)接收。
(非)應(yīng)答態(tài):數(shù)據(jù)傳輸態(tài)完成后,必須接一個(gè)應(yīng)答態(tài)或者非應(yīng)答態(tài),為了確定對方接收到了數(shù)據(jù)。在SCL為高電平的時(shí)候,檢測到SDA為低電平,則為應(yīng)答,否則為非應(yīng)答。
停止態(tài):一次數(shù)據(jù)傳輸完成,由主機(jī)發(fā)起,在SCL為高電平的時(shí)候,SDA由低電平變成高電平。
了解了這五種狀態(tài)后,接下來就要學(xué)習(xí)如何使用這五種狀態(tài)來進(jìn)行讀寫操作了。
(一) IIC寫操作
下面就是一個(gè)完整的寫操作,共包含三次數(shù)據(jù)傳輸態(tài),第一次發(fā)送的是從機(jī)地址 + 0,第二次發(fā)送的是寄存器的地址,第三次寫的是數(shù)據(jù),寫入寄存器中的數(shù)據(jù)。從機(jī)地址一般為7bit,與另外一bit共同組成8bit,0表示寫,1表示讀。
(二)IIC讀操作
讀操作要比寫操作復(fù)雜一點(diǎn),需要的狀態(tài)多一些。一共有五個(gè)數(shù)據(jù)傳輸態(tài),狀態(tài)圖如下了。
上面的流程圖都是對從機(jī)的地址為7位以及從機(jī)的寄存器地址為8位的操作。
四. Verilog代碼實(shí)現(xiàn)
有了上面的各個(gè)狀態(tài)中,SDA和SCL的變換關(guān)系,以及讀寫的序列,就可以很方便的來寫程序啦。
1. 首先,當(dāng)然離不開狀態(tài)機(jī),根據(jù)上面敘述的五種狀態(tài),編寫狀態(tài)機(jī),狀態(tài)機(jī)中,將數(shù)據(jù)傳輸態(tài)分成了讀和寫兩種狀態(tài)。有了各個(gè)狀態(tài),操作SDA和SCL兩根線不是易如反掌嘛!
/*IIC 狀態(tài)*/
localparam IIC_IDLE = 6'b000_001; /*空閑態(tài)*/
localparam IIC_START = 6'b000_010; /*起始態(tài)*/
localparam IIC_WRDATA = 6'b000_100; /*寫數(shù)據(jù)態(tài)*/
localparam IIC_RDDATA = 6'b001_000; /*讀數(shù)據(jù)態(tài)*/
localparam IIC_ACK = 6'b010_000; /*應(yīng)答態(tài)*/
localparam IIC_STOP = 6'b100_000; /*停止態(tài)*/
2. 狀態(tài)機(jī)的跳轉(zhuǎn)條件如下,跳轉(zhuǎn)條件和上面敘述的一樣。單獨(dú)看這個(gè)有點(diǎn)難懂,有些變量不明白其具體含義,可以結(jié)和仿真圖形和完整代碼進(jìn)行理解。
/*狀態(tài)機(jī)*/
always @(*)
begin
case(state)
IIC_IDLE:
if(IICWriteReq == 1'b1 || IICReadReq == 1'b1)
next_state <= IIC_START;
else
next_state <= IIC_IDLE;
IIC_START:
if(IICCnt == (IIC_Pre * 'd2))
next_state <= IIC_WRDATA;
else
next_state <= IIC_START;
IIC_WRDATA:
if(IICBitCnt == 'd8 && IICCnt == IIC_Pre /4 && iicCLK == 1'b0)
next_state <= IIC_ACK;
else
next_state <= IIC_WRDATA;
IIC_RDDATA:
if(IICBitCnt == 'd8 && IICCnt == IIC_Pre /4 && iicCLK == 1'b0)
next_state <= IIC_ACK;
else
next_state <= IIC_RDDATA;
IIC_ACK:
if(IICACKStopCnt == 'd1 && IICCnt == IIC_Pre /4 && iicCLK == 1'b0)
if(IICSendBytes == 'd3)
if(IICWriteReq == 1'b1) /*三個(gè)字節(jié)發(fā)送完成,進(jìn)入停止態(tài)*/
next_state <= IIC_STOP;
else
next_state <= IIC_RDDATA;
else if(IICSendBytes == 'd2 && IICReadReq == 1'b1)
next_state <= IIC_START;
else if(IICSendBytes == 'd4)
next_state <= IIC_STOP;
else
next_state <= IIC_WRDATA;
else
next_state <= IIC_ACK;
IIC_STOP:
if(IICACKStopCnt == 'd1 && IICCnt == IIC_Pre/4 && iicCLK == 1'b1)
next_state <= IIC_IDLE;
else
next_state <= IIC_STOP;
default: next_state <= IIC_IDLE;
endcase
end
各個(gè)部分實(shí)現(xiàn)的詳細(xì)代碼,就不列舉出來啦,代碼總計(jì)280多行,也不算多。通過本IIC模塊,可以驅(qū)動OV5640攝像頭,MPU6050模塊和0.96寸OLED屏幕等等,后續(xù)會基于此模塊,來驅(qū)動這些外設(shè)。
五. testbeach編寫
還是按照流程走,編寫完模塊后,進(jìn)行一下仿真,還真有錯(cuò)誤,幸虧仿真了,哈哈哈。
`timescale 1ns/1ps
module testbench();
reg clk;
reg rst;
wire SDA;
wire SCL;
reg IICWriteReq;
reg IICReadReq;
wire IICWriteDone;
wire IICReadDone;
always # 50 clk = ~clk;
initial begin
clk = 1'b1;
rst = 1'b1;
IICWriteReq = 1'b0;
IICReadReq = 1'b1;
#100 /*手動復(fù)位*/
rst = 1'b0;
#100
rst = 1'b1;
end
always@(posedge clk)
if(IICReadDone == 1'b1) /*讀完成后,readReq為0,只進(jìn)行一次讀寫操作*/
IICReadReq <= 1'b0;
else
IICReadReq <= IICReadReq;
IIC_Driver IIC_DriverHP(
.sys_clk (clk), /*系統(tǒng)時(shí)鐘*/
.rst_n (rst), /*系統(tǒng)復(fù)位*/
.IICSCL (SCL), /*IIC 時(shí)鐘輸出*/
.IICSDA (SDA), /*IIC 數(shù)據(jù)線*/
.IICSlave ('h1234),
.IICWriteReq (IICWriteReq), /*IIC寫寄存器請求*/
.IICWriteDone (IICWriteDone), /*IIC寫寄存器完成*/
.IICWriteData ('h5a), /*IIC發(fā)送數(shù)據(jù) 8bit的從機(jī)地址 + 8bit的寄存器地址 + 8bit的數(shù)據(jù)(讀忽略,后默認(rèn)為0)*/
.IICReadReq (IICReadReq), /*IIC讀寄存器請求*/
.IICReadDone (IICReadDone), /*IIC讀寄存器完成*/
.IICReadData ()/*IIC讀取數(shù)據(jù)*/
);
endmodule
需要完整代碼的可以關(guān)注微信公眾號 FPGA之旅 回復(fù) :FPGA之旅設(shè)計(jì)99例之第五例
更多信息可以來這里獲取==>>電子技術(shù)應(yīng)用-AET<<
電子技術(shù)應(yīng)用專欄作家 FPGA之旅
原文鏈接:https://mp.weixin.qq.com/s/3qwZRqjHEZzj4V8uMo0T4g