《電子技術(shù)應(yīng)用》
您所在的位置:首頁 > 模擬設(shè)計(jì) > 設(shè)計(jì)應(yīng)用 > EPM7128在光柵位移測量儀中的應(yīng)用
EPM7128在光柵位移測量儀中的應(yīng)用
摘要: 本文針對輸出正弦波的傳感器進(jìn)行了討論,對于輸出為正弦信號的光柵尺,需要對輸出信號進(jìn)行整形。光柵尺的輸出信號經(jīng)過整形后如圖1所示。
Abstract:
Key words :

1 光柵位移傳感器測量原理

  將光源、兩塊長光柵(指示光柵和標(biāo)尺光柵)、光電檢測器件等組合在一起構(gòu)成的光柵傳感器通常稱為光柵尺。當(dāng)兩塊光柵以微小傾角重疊時(shí),在與光柵刻線大致垂直的方向上就會產(chǎn)生莫爾條紋,在條紋移動的方向上放置光電探測器,可將光信號轉(zhuǎn)換為電信號,這樣就可以實(shí)現(xiàn)位移信號到電信號的轉(zhuǎn)換。
  本文針對輸出正弦波的傳感器進(jìn)行了討論,對于輸出為正弦信號的光柵尺,需要對輸出信號進(jìn)行整形。光柵尺的輸出信號經(jīng)過整形后如圖1所示。

  

 

  將光柵尺輸出的信號進(jìn)行細(xì)分,然后辨向,再送入可逆計(jì)數(shù)器。由于2路信號周期同為T,相位相差90 °,光柵尺中的指示光柵每移動一個(gè)柵距,輸出電信號就變化一個(gè)周期,如果能夠把變化的周期數(shù)測量出來,就可以測出相對位移。

  2 CPLD的選擇

  本設(shè)計(jì)中選擇的CPLD(復(fù)雜可編程邏輯器件)是Altera公司的EPM 7128SLC84-15,它采用CMOS工藝,是一種基于EPROM的器件。該芯片有84個(gè)引腳,其中5個(gè)用于ISP(In System Programmable)下載,可以方便地對其進(jìn)行在系統(tǒng)編程。此器件內(nèi)集成了6 000門,其中典型可用門為2 500個(gè),有128個(gè)邏輯單元,60個(gè)可用I/O口,可以單獨(dú)配置為輸入、輸出及雙向工作方式,2個(gè)全局時(shí)鐘及1個(gè)全局使能端和1個(gè)全局清除端。EPM 7128SLC284-15支持多電壓工作,其中15代表芯片的速度,該芯片傳輸延時(shí)為7.5 ns,最高工作頻率為125 MHz,并支持多種編程方式。利用Altera公司的QuartusⅡ5.1軟件可以方便地進(jìn)行仿真、綜合和下載。

  3 系統(tǒng)實(shí)現(xiàn)

  本系統(tǒng)中使用的光柵傳感器輸出的是4路相位差為90°的正弦信號,因此需要對傳感器的輸出信號進(jìn)行整形處理。本設(shè)計(jì)中采用了由運(yùn)放LM393構(gòu)成的差分放大器,將光柵傳感器輸出的4路信號分別送入2個(gè)差分放大器的輸入端,從差分放大器輸出的2路信號其相位差為90°,整個(gè)系統(tǒng)框圖如圖2所示。

  

光柵位移<a class=測量儀系統(tǒng)原理圖" src="http://files.chinaaet.com/images/20110907/f713d65e-b0b5-4cf7-95c2-0f808af7cc7a.jpg" style="width: 395px; height: 211px" />

 

  將差分后的信號進(jìn)行整形得到2路方波信號,得到的方波信號不能直接送入計(jì)數(shù)器中,在本設(shè)計(jì)中由EPM 7128SIC84-15完成4細(xì)分、辨向、計(jì)數(shù)的功能,在QuartusⅡ5.1中采用原理圖的輸入方式將上述模塊組合成一個(gè)軟件系統(tǒng)。

  3.1 數(shù)字濾波電路

  通過多次實(shí)驗(yàn)發(fā)現(xiàn),光柵傳感器輸出的信號雖然經(jīng)過了前級電路的預(yù)處理,但光柵發(fā)生抖動或測頭被沖擊時(shí),仍然會產(chǎn)生噪聲信號,從而影響計(jì)數(shù)的準(zhǔn)確性,降低整個(gè)測試系統(tǒng)的精度。為了消除噪聲信號,在CPLD內(nèi)部設(shè)計(jì)了一數(shù)字濾波電路模塊米濾除抖動脈沖,防止計(jì)數(shù)器誤計(jì)數(shù)。圖3是數(shù)字濾波電路圖。

  

數(shù)字濾波電路圖

 

  該電路的基本原理是用觸發(fā)器將輸入的方波信號通過時(shí)鐘clk的延遲來克服毛刺和噪聲信號,延遲的時(shí)鐘周期數(shù)與毛刺和噪聲信號的脈沖寬度有關(guān),需要通過多次實(shí)驗(yàn)合理選取。

  

 

  圖4是數(shù)字濾波電路的仿真波形圖,從圖中可以看出,當(dāng)輸入信號ina或inb出現(xiàn)毛刺時(shí),經(jīng)過數(shù)字濾波后,輸出波形a和b中已看不到毛刺。在仿真過程中需要注意的足輸入信號ina和inb與clk之間的頻率設(shè)置,如果設(shè)置不合適,仿真將會失敗。3.2 細(xì)分辨向電路

 

  光柵尺信號的細(xì)分與辨向足提高光柵尺測量精度的關(guān)鍵性一步,沒計(jì)者需要綜合考慮辨向與細(xì)分的復(fù)雜性。在辨向時(shí),是對細(xì)分后的信號進(jìn)行辨向,而不是在細(xì)分前進(jìn)行辨向,否則不能提高測量精度。本測量系統(tǒng)中是先細(xì)分、后辨向。電路仿真波形如圖5所示。

  

 

  在波形圖中:a、b信號足輸入波形,clk是系統(tǒng)時(shí)鐘;clr是系統(tǒng)復(fù)位信號;direction是方向信號,通過該信號能夠判斷出光柵尺中指示光柵的移動方向,如果是高電平,則表示指示光柵作正向運(yùn)動,反之則作反向運(yùn)動;clkadd表示指示光柵正向運(yùn)動時(shí)的細(xì)分信號;clksubb表示指示光柵反向運(yùn)動時(shí)的細(xì)分信號;clkout信號是clkadd和clksubb相"與非"后的結(jié)果,該信號作為可逆計(jì)數(shù)器的時(shí)鐘信號;當(dāng)direction為高電平時(shí),叮逆計(jì)數(shù)器作加運(yùn)算,當(dāng)direction為低電平時(shí),可逆計(jì)數(shù)器作減運(yùn)算。

  3.3 計(jì)數(shù)電路

  本系統(tǒng)中的計(jì)數(shù)器采用VHDL進(jìn)行設(shè)計(jì),輸入信號定義為時(shí)鐘信號和方向信號,輸出信號定義為24位的計(jì)數(shù)結(jié)果。用VHDL實(shí)現(xiàn)的24位可逆計(jì)數(shù)器功能的原程序如下:

  

 

  仿真波形如圖6所示。

  

 

  在波形圖中:clk信號是上一級電路的clkout信號,作為可逆計(jì)數(shù)器的時(shí)鐘;up_down是辨向信號,計(jì)數(shù)器的加運(yùn)算和減運(yùn)算由該信號控制,當(dāng)up_down為高電平時(shí)計(jì)數(shù)器作加法,否則作減法。

  3.4 譯碼驅(qū)動和顯示電路

  本系統(tǒng)中要正確顯示測量結(jié)果,需要譯碼驅(qū)動和顯示電路模塊,澤碼電路用于轉(zhuǎn)換24位的計(jì)數(shù)結(jié)果;顯示電路需要8個(gè)LED顯示數(shù)據(jù),其中1個(gè)LED為符號位。當(dāng)正向運(yùn)動時(shí),符號位不顯示符號,當(dāng)反向運(yùn)動時(shí)顯示"-"號。

  4 結(jié)束語

  基于EPM 7128SLC84-15構(gòu)成的位移測量系統(tǒng)具有分辨率高、誤差小、電路結(jié)構(gòu)簡單、成本低等優(yōu)點(diǎn),完全能夠滿足實(shí)際測量的需要。由于采用的是CPLD設(shè)計(jì),系統(tǒng)易于升級。

此內(nèi)容為AET網(wǎng)站原創(chuàng),未經(jīng)授權(quán)禁止轉(zhuǎn)載。