《電子技術(shù)應(yīng)用》
您所在的位置:首頁 > 模擬設(shè)計(jì) > 設(shè)計(jì)應(yīng)用 > 為低噪聲小功率精密放大器建立準(zhǔn)確的Spice模型
為低噪聲小功率精密放大器建立準(zhǔn)確的Spice模型
摘要: 系統(tǒng)工程師們需要所有類型IC的準(zhǔn)確模型,他們需要用Spice模型來運(yùn)行復(fù)雜的電路仿真。早期的Spice模型幾乎沒有什么非線性元件,需要以準(zhǔn)確性為代價(jià)而獲得盡量少的仿真時(shí)間,而新方法增加了非線性元件的數(shù)量,并改進(jìn)了準(zhǔn)確性。對于小功率低噪聲運(yùn)算放大器,可以建立一種多級的模型。模型采用了AnalogDevices公司的工作成果(參考文獻(xiàn)1),需要對小功率低噪聲精密放大器的建模作一些架構(gòu)上的改變。模型架構(gòu)上要通過八級來處理輸入信號。用一個(gè)手持計(jì)算器就可以簡單地算出八級的一些參數(shù)。要理解建模過程,必須有使用Spice的經(jīng)驗(yàn)。
關(guān)鍵詞: 放大器 SPICE模型 CMRR VCCS級
Abstract:
Key words :

  系統(tǒng)工程師們需要所有類型IC的準(zhǔn)確模型,他們需要用Spice模型來運(yùn)行復(fù)雜的電路仿真。早期的Spice模型幾乎沒有什么非線性元件,需要以準(zhǔn)確性為代價(jià)而獲得盡量少的仿真時(shí)間,而新方法增加了非線性元件的數(shù)量,并改進(jìn)了準(zhǔn)確性。對于小功率低噪聲運(yùn)算放大器,可以建立一種多級的模型。模型采用了Analog Devices公司的工作成果(參考文獻(xiàn)1),需要對小功率低噪聲精密放大器的建模作一些架構(gòu)上的改變。模型架構(gòu)上要通過八級來處理輸入信號。用一個(gè)手持計(jì)算器就可以簡單地算出八級的一些參數(shù)。要理解建模過程,必須有使用Spice的經(jīng)驗(yàn)。

  雖然較高速放大器有多個(gè)極點(diǎn)和零點(diǎn),但本模型是針對單極的10 MHz放大器。它可以仿真放大器的主要AC與DC參數(shù)。該模型包含的AC參數(shù)有:閃爍噪聲和平帶噪聲、轉(zhuǎn)換速率、CMRR(共模抑制比)、增益和相位。DC參數(shù)有VOS(輸入偏移電壓)、IOS(輸入偏移電流)、靜態(tài)電流,以及輸出電壓擺幅。模型采用的是25°C典型參數(shù)(參考文獻(xiàn)2)。輸入級的模型愈接近實(shí)際放大器,則結(jié)果就會(huì)愈準(zhǔn)確。對輸入級晶體管或MOSFET采用一些工藝參數(shù),可以實(shí)現(xiàn)放大器性能的準(zhǔn)確AC表述。此模型的架構(gòu)可以對采用多電源的放大器建模。任何信號處理塊中都沒有參考地。經(jīng)過差分至單端的轉(zhuǎn)換后,所有內(nèi)部產(chǎn)生的結(jié)點(diǎn)電壓都參考到電源的中點(diǎn),這非常像一個(gè)放大器的真實(shí)運(yùn)行情況。

  八個(gè)級聯(lián)級

  模型的電路邏輯圖包含八個(gè)功能塊(圖1)。唯一看起來像放大器的電路是輸入級(見表1)。所有其它級都通過壓控電流源或壓控電壓源來處理輸入信號。這些級還可能包含二極管、直流電源、電阻、電容以及電感。

圖1 ,這個(gè)spice模型有八級

  電壓噪聲級產(chǎn)生閃爍與平帶噪聲。要產(chǎn)生一個(gè)僅為4nV/√H2的平帶噪聲,要將所有二極管和晶體管的模型參數(shù)kf與af分別設(shè)為0和1。為了將模型的噪聲背景降低到一位數(shù)的納伏水平,可能需要降低模型的Johnson噪聲(參考文獻(xiàn)3),方法是在可能的地方減小電阻值。

  減小電阻值以前,先計(jì)算出標(biāo)準(zhǔn)的電阻值,并完成所有的仿真調(diào)整。然后將電阻值減小到1Ω,就可以調(diào)整電壓噪聲級,并且重新計(jì)算跨導(dǎo),以及各級的時(shí)間常數(shù),以保持相同的傳遞函數(shù)。通??梢詫㈦娮鑂5、R6、R9、R10、R1和R12設(shè)為1Ω。如果要建模的放大器有數(shù)百納伏的輸入信號,則不需要降低Johnson噪聲。初始噪聲仿真會(huì)告訴你是否需要這一步驟。一旦將模型的平帶噪聲設(shè)為低于放大器的噪聲背景,就可以調(diào)節(jié)DN、R17和V5,改變閃爍噪聲與平帶噪聲。

  所選運(yùn)放的輸入級包含有五只雙極晶體管,用于實(shí)際IC結(jié)構(gòu)的建模。對于采用NMOS或PMOS級的運(yùn)算放大器(見附文1,“典型輸入級”)。輸入級包含一個(gè)模型的電流源IOS,一個(gè)模型的電壓源VOS,以及一個(gè)壓控電壓源。R1和R2 決定了器件的CMRR。

  第一增益級將輸入級與第一增益級的聯(lián)合增益設(shè)為1,這簡化了對第二增益級中轉(zhuǎn)換速率限制元件的計(jì)算工作。二極管D2至D5以及V1至V4用于輸出電壓擺幅的箝位。增加V1至V4的值將減小最大輸出電壓擺幅。通過調(diào)節(jié)V1和V3可以控制最大輸出電壓擺幅VOH,而最小輸出電壓擺幅VOL受控于V2和V4。限壓必須出現(xiàn)在開環(huán)增益級;否則,后面的結(jié)點(diǎn)就會(huì)試圖模擬大信號(數(shù)百千伏)的生成。

  第二增益級設(shè)定開環(huán)電壓增益、帶寬以及放大器的轉(zhuǎn)換速率,方法是調(diào)節(jié)跨導(dǎo)塊G3和G4,以及元件R7、R8、C2和C3。

  中位電源參考級包括兩個(gè)等值電阻R9和R10。這些電阻用于生成一個(gè)中位基準(zhǔn)電壓。將這些電阻值設(shè)為1Ω,可以降低模型的Johnson電壓噪聲。流經(jīng)這些電阻的大電流不會(huì)出現(xiàn)在仿真結(jié)果中,因?yàn)橛须娫锤綦x級。

  共模增益級包括兩個(gè)壓控電流源,它驅(qū)動(dòng)兩只等值電阻,兩只電阻與一個(gè)連接到電源軌的電感串聯(lián)(見附文2,“VCCS級工作原理”)。電感模擬了CMRR在較高輸入頻率時(shí)的滾降。控制電流源的是相對于中位電壓的輸入共模電壓。輸入級的電阻R1和R2產(chǎn)生共模電壓。每個(gè)源的跨導(dǎo)都設(shè)為用相關(guān)電阻值除以DC時(shí)放大器CMRR后的倒數(shù)。電感為共模增益補(bǔ)充了一個(gè)Z平面零點(diǎn),等效于為CMRR增加了一極。在對共模電壓的調(diào)節(jié)和頻率整形后,再用一個(gè)壓控電壓源EOS將其加回到輸入級。

  電源隔離級包括兩個(gè)壓控電壓源與一個(gè)電流源。這樣就能用結(jié)點(diǎn)列表中的一項(xiàng),設(shè)定放大器的總電流。它還能將內(nèi)部電流與仿真器看到的外部電流隔離開來,使模型能夠提供小功率低電壓噪聲放大器的正確靜態(tài)電流。設(shè)計(jì)折中是不能用輸出電流的電路。禁用這個(gè)電路后,模型就不用考慮負(fù)載電流了。

  輸出級的工作并不明顯。經(jīng)過適當(dāng)?shù)念l率整形后,放大器的輸出信號表現(xiàn)為一個(gè)以G7與G8輸入點(diǎn)處中位電源為基準(zhǔn)的電壓??鐚?dǎo)塊G7與G8驅(qū)動(dòng)兩只等值電阻,電阻連接到電 源軌。它們作為有源電流發(fā)生器。G7與G8產(chǎn)生出正好適當(dāng)?shù)碾娏?,為其并?lián)電阻提供所需的壓降(圖2)。

 

  通過模擬正確的輸出電阻,降低了放大器負(fù)載的DC開環(huán)增益。二極管D6和D7以及DC電源V5和V6用于仿真輸出短路保護(hù)功能。在故障情況下,輸出電壓會(huì)箝位到前面的頻率整形級。調(diào)整V5和V6的值設(shè)定輸出短路保護(hù)的限制值。

  計(jì)算參數(shù)

  在計(jì)算Spice模型的參數(shù)時(shí),需要運(yùn)放數(shù)據(jù)表的各種規(guī)格,以及IC設(shè)計(jì)信息(表1)。將下列方程置于一個(gè)Excel表中,就能夠修改關(guān)鍵規(guī)格,快速地看到運(yùn)放性能的效果。從數(shù)據(jù)表可以將EOS和IOS直接輸入模型。如果模型需要,一般可以從數(shù)據(jù)表中得到輸入差分電容的規(guī)格。通過輸入差分對尾電流IEE與放大器轉(zhuǎn)換速率的關(guān)聯(lián),就可以確定C2和C3的值。這個(gè)步驟建立了單極RC網(wǎng)絡(luò)的最大頻率,并設(shè)定了單位增益帶寬。放大器數(shù)據(jù)表中很少提供IEE值,因此必須從IC設(shè)計(jì)者那里獲得該值。對于參考文獻(xiàn)2中的放大器,方程計(jì)算結(jié)果55.55 pF:

  下面,用主極點(diǎn)頻率方程確定R7和R8的值。這個(gè)方程設(shè)定了RC網(wǎng)絡(luò)的斷點(diǎn)。查看放大器數(shù)據(jù)表的開環(huán)增益圖就可以獲得主極點(diǎn)頻率fP1(圖3)。參考文獻(xiàn)2中放大器獲得的值是573 MΩ:

  使用數(shù)據(jù)表中的AVOL 以及電阻值R7/8,以確定壓控電流源的跨導(dǎo):

  對于參考文獻(xiàn)2中的放大器,跨導(dǎo)部分算得的結(jié)果是0.0046。模型使用第一增益級,將輸入級與第一增益級的聯(lián)合增益設(shè)為1?,F(xiàn)在,可以計(jì)算得到G3和G4輸入的電壓產(chǎn)生出200×10-6的尾電流,它流經(jīng)R7和R8。

  在轉(zhuǎn)換速率限制期間,200×10-6的電流阱通過電阻R3或R4作電流箝位。在正輸入電壓時(shí),R4承載電流。負(fù)輸入電壓則意味著電流會(huì)通過R3。這個(gè)電流流過4.45kΩ的電阻,產(chǎn)生一個(gè)0.89V的壓降。這個(gè)壓降出現(xiàn)在G1和G2的輸入端。要將輸入級與第一級的聯(lián)合增益設(shè)為1,必須計(jì)算出G1和G2的跨導(dǎo),使得當(dāng)它們的輸入為0.89V時(shí),輸出電壓等于0.0434V。如果將與G1和G2輸出并聯(lián)的電阻值設(shè)為1Ω,則電壓將等于電流,于是可以算出G1和G2的跨導(dǎo):

  如果手頭沒有設(shè)計(jì)審核文檔,可將R3和R4設(shè)為1Ω,以計(jì)算在G1和G2輸入上出現(xiàn)的電壓。如果從IC設(shè)計(jì)者那里得到了集電極電流,則可以將其直接輸入模型中:

  設(shè)V1/3和V2/4 電壓為獲得最大輸出電壓擺幅:

  其中,兩個(gè)二極管都是T=25°C時(shí)VT=0.02585V,IS=1×10-12A。對于正的輸入電壓擺幅,模型將輸出電壓箝位于一個(gè)等于VCC+VD2/4-V1/3的值,對負(fù)輸入電壓擺幅為−VEE−V2/4+ VD3/5。從噪聲角度考慮,將R11和R12的值選擇為1Ω:

  計(jì)算出G7和G8的值:

  查看數(shù)據(jù)表中的CMRR-頻率圖,可以估算出共模極點(diǎn)頻率fPCM。由該頻率可以算出電感值:

  將輸出級的跨導(dǎo)設(shè)為2ROUT的倒數(shù):

  這個(gè)步驟通過G7、G8、G9和G10獲得了單位增益。輸出電阻建立為2ROUT,這是考慮了輸出電流必須來自一個(gè)電源軌:

  現(xiàn)在可以算出V5:

  取第一項(xiàng)的絕對值,就可以得出V6的絕對值:

  仿真結(jié)果

  一旦計(jì)算完了模型的所有參數(shù),就可以運(yùn)行仿真了。仿真與數(shù)據(jù)表給出的器件性能有良好的關(guān)聯(lián)(圖4至圖9)。IC的數(shù)據(jù)表提供了仿真與真實(shí)結(jié)果的更多比較。現(xiàn)代計(jì)算機(jī)的能力可以快速解算出一個(gè)輸入級包含五只雙極晶體管的模型。這些晶體管各自都有專門的模型參數(shù)。用這種方法得到的仿真結(jié)果接近于器件的測量結(jié)果。另外,你可以用這個(gè)過程對一位數(shù)納伏噪聲的參數(shù)作建模。由于這種方法能為小電源電流建模,因此最適用于低噪聲的微功率放大器。

  參考文獻(xiàn)

  1.Alexander, Mark, and Derek F Bowers, “SPICE-Compatible Op Amp Macro- Models,” Application Note AN-138, Analog Devices, February 1990.

  2.“Precision Single and Dual Low Noise Operational Amplifiers, ISL28127, ISL28227,” Intersil Data Sheet, Dec 16, 2010.

  3.Bowers, Derek F, “Minimizing Noise in Analog Bipolar Circuit Design,” IEEE Proceedings of the 1989 Bipolar Circuits and Technology Meeting, Sept 18, 1989, pg 107.

 

 

 

  附文1,典型輸入級

  本文中的模型針對有一個(gè)雙極晶體管輸入級的運(yùn)放。很多現(xiàn)代放大器采用了NMOS輸入晶體管。還有一些現(xiàn)代運(yùn)放使用了PMOS晶體管輸入級(。你需要確定需要建模運(yùn)放的輸入級結(jié)構(gòu),然后才能使用適合的輸入級邏輯圖。JFET輸入的邏輯圖未提供,但它們可在文獻(xiàn)中找到。

  附文2,VCCS級工作原理

  當(dāng)G1和G2的輸入電壓增加時(shí),合成后輸出電流的增加將提高中點(diǎn)的電壓。當(dāng)輸入的電壓降低時(shí),中點(diǎn)電壓將降低。如果將該級的gm 設(shè)定為等于并聯(lián)電阻的倒數(shù),則該級有正的單位增益。可以構(gòu)想一個(gè)等效于差分電路的單端電路。這樣有助于想象流經(jīng)這些級的信號流。

此內(nèi)容為AET網(wǎng)站原創(chuàng),未經(jīng)授權(quán)禁止轉(zhuǎn)載。