《電子技術(shù)應(yīng)用》
您所在的位置:首頁 > 模擬設(shè)計(jì) > 業(yè)界動(dòng)態(tài) > FPGA市場(chǎng)競(jìng)爭(zhēng)激烈,未來發(fā)展路在何方?

FPGA市場(chǎng)競(jìng)爭(zhēng)激烈,未來發(fā)展路在何方?

2022-09-07
來源:半導(dǎo)體產(chǎn)業(yè)縱橫

FPGA 中文全稱為現(xiàn)場(chǎng)可編程門陣列(Field Programmable Gate Array),是邏輯芯片的一種,邏輯芯片還包括 CPU、GPU、DSP 等通用處理器芯片,以及專用集成電路芯片 ASIC。

與主流芯片(CPU、GPU、ASCI)的對(duì)比,優(yōu)勢(shì)何在?

FPGA 和 CPU、GPU、ASIC 的等核心區(qū)別在于,其他類別邏輯芯片,像 ASIC、CPU 和 GPU 等,在芯片被制造完成之后,其芯片的功能就已被固定,用戶無法對(duì)其硬件功能進(jìn)行任何修改,而FPGA芯片的底層邏輯運(yùn)算單元的連線及邏輯布局未固化,用戶可通過 EDA 軟件對(duì)邏輯單元和開關(guān)陣列編程,進(jìn)行功能配置,從而去實(shí)現(xiàn)特定功能的集成電路芯片,因此也適用于底層算法需要持續(xù)更迭的運(yùn)算領(lǐng)域,例如人工智能算法優(yōu)化。同時(shí),這也意味著

FPGA 芯片公司不僅需要提供芯片,還需要提供 FPGA 專用 EDA 軟件來對(duì)芯片進(jìn)行配置。所以 FPGA 芯片公司不僅僅是集成電路設(shè)計(jì)企業(yè),還必須是集成電路 EDA 軟件企業(yè)。

由于5G滲透率提升、AI智能推進(jìn)以及汽車智能化的不斷演進(jìn),近年來FPGA芯片市場(chǎng)需求強(qiáng)勁。

海內(nèi)外FPGA市場(chǎng)競(jìng)爭(zhēng)格局

全球FPGA 市場(chǎng)規(guī)模不斷擴(kuò)大,中國市場(chǎng)增速更是快于全球

FPGA下游應(yīng)用市場(chǎng)廣泛,隨著5G技術(shù)的提升、AI的推進(jìn)以及汽車自動(dòng)化趨勢(shì)的演進(jìn),全球FPGA市場(chǎng)規(guī)模將穩(wěn)步增長(zhǎng)。Frost&Sullivan,預(yù)計(jì)全球 FPGA需求將從2021年 68.6 億美元增長(zhǎng)為2025年125.8億美元,年均復(fù)合增長(zhǎng)率約為 16.4%。

全球 FPGA 市場(chǎng)規(guī)模

中國市場(chǎng)增速更是快于全球。隨著國產(chǎn)替代進(jìn)程的進(jìn)一步加速,近年來,中國 FPGA 芯片市場(chǎng)規(guī)模持續(xù)上升,從2016 年的 65.5 億元增長(zhǎng)至 2020 年的 150.3 億元,年復(fù)合增長(zhǎng)率答 23.1%。據(jù)預(yù)測(cè),至 2025 年中國 FPGA 市場(chǎng)規(guī)模將進(jìn)一步提升至 332.2 億元,2021-2025 年的復(fù)合增長(zhǎng)率為 17.1%,高于全球 FPGA 市場(chǎng)的 10.85%。

中國 FPGA 市場(chǎng)規(guī)模

FPGA 芯片國外起步較早,技術(shù)積累深厚,高度壟斷市場(chǎng)。

在全球FPGA 市場(chǎng)中,主要被Xilinx(已被AMD收購)和Intel兩家海外企業(yè)雙寡頭壟斷,在2019年,兩家合計(jì)占據(jù)了全球市場(chǎng)份額的85%以上。由于技術(shù)壁壘高、更新?lián)Q代速度快,全球 FPGA 市場(chǎng)高度集中,國內(nèi)廠商占比較低。

2019 年全球 FPGA 市場(chǎng)競(jìng)爭(zhēng)格局

國內(nèi) FPGA 市場(chǎng)起步較晚,技術(shù)層面仍存在較大差距

目前Xilinx、Intel、Lattice三家供應(yīng)商占據(jù)中國約80%FPGA市場(chǎng)份額。盡管國外廠商占比仍然較高,在國產(chǎn)化趨勢(shì)下,但國內(nèi)廠商有所突破,中國FPGA市場(chǎng)的成長(zhǎng)將助力國內(nèi)企業(yè)占據(jù)市場(chǎng)份額。

國內(nèi)FPGA市場(chǎng)起步較晚,相關(guān)技術(shù)人員匱乏,主要面向低密度市場(chǎng)擴(kuò)展自身份額,逐步實(shí)現(xiàn)國產(chǎn)替代。雖然國內(nèi)FPGA廠商有百家爭(zhēng)鳴之勢(shì),但基本分布在中低端市場(chǎng),大多是一些1000萬門級(jí)左右的FPGA,少數(shù)達(dá)到2000萬門級(jí)的FPGA雖然也有自主研發(fā)的,有一些是逆向工程的產(chǎn)物,或商業(yè)收購的結(jié)果。從技術(shù)水平上看,國內(nèi)廠商與國際龍頭仍存在較大差距。

但隨著國內(nèi)企業(yè)加大研發(fā)布局,其設(shè)計(jì)能力已有較大提升,如復(fù)旦微 28nm 制程億門級(jí) FPGA 芯片已實(shí)現(xiàn)量產(chǎn)出貨;安路科技 28nm產(chǎn)品已正式量產(chǎn),F(xiàn)inFET 工藝產(chǎn)品已開展預(yù)研。隨著國內(nèi)企業(yè)的進(jìn)一步研發(fā),有望逐步縮小與國際先進(jìn)水平的差距,在國產(chǎn)化趨勢(shì)下擴(kuò)大國內(nèi)市場(chǎng)份額。

FPGA 芯片最大的特點(diǎn)是可編程性,可通過改變芯片內(nèi)部連接結(jié)構(gòu),實(shí)現(xiàn)任何邏輯功能。其應(yīng)用領(lǐng)域最初為通信領(lǐng)域,但目前,隨著信息產(chǎn)業(yè)和微電子技術(shù)的發(fā)展,可編程邏輯嵌入式系統(tǒng)設(shè)計(jì)技術(shù)已經(jīng)成為信息產(chǎn)業(yè)最熱門的技術(shù)之一,應(yīng)用范圍遍及人工智能、數(shù)據(jù)中心、醫(yī)療、通訊、5G、安防、汽車電子、工業(yè)等多個(gè)熱門領(lǐng)域。并隨著工藝的進(jìn)步和技術(shù)的發(fā)展,向更多、更廣泛的應(yīng)用領(lǐng)域擴(kuò)展。越來越多的設(shè)計(jì)也開始以ASIC轉(zhuǎn)向FPGA,F(xiàn)PGA正以各種電子產(chǎn)品的形式進(jìn)入我們?nèi)粘I畹母鱾€(gè)角落。

隨著應(yīng)用場(chǎng)景對(duì)FPGA的需求持續(xù)提升,再加上5G、人工智能、大數(shù)據(jù)、自動(dòng)駕駛、物聯(lián)網(wǎng)的發(fā)展推動(dòng)中國FPGA市場(chǎng)擴(kuò)張,刺激增量需求釋放,從行業(yè)發(fā)展趨勢(shì)來看,F(xiàn)PGA潛力被嚴(yán)重低估,未來大有可為。

對(duì)于人工智能而言,算法正處于快速迭代中。雖然ASIC芯片可以獲得最優(yōu)的性能,即面積利用率高、速度快、功耗低;但是AISC開發(fā)風(fēng)險(xiǎn)極大,需要有足夠大的市場(chǎng)來保證成本價(jià)格,而且從研發(fā)到市場(chǎng)的周期很長(zhǎng),不適合例如深度學(xué)習(xí)、CNN等算法正在快速迭代的領(lǐng)域。FPGA正好能適用于人工智能領(lǐng)域,滿足高速并行計(jì)算的需求,基于全球人工智能市場(chǎng)大熱,這也刺激了FPGA的市場(chǎng),有利于FPGA產(chǎn)業(yè)的發(fā)展。

FPGA的未來發(fā)展有五個(gè)方向。

(1) 基于FPGA的嵌入式系統(tǒng)(SOPC)技術(shù)

System on Chip(SoC)技術(shù)在芯片設(shè)計(jì)領(lǐng)域被越來越廣泛地采用,而SOPC技術(shù)是Soc技術(shù)在可編程器件領(lǐng)域的應(yīng)用。這種技術(shù)的核心是在FPGA芯片內(nèi)部構(gòu)建處理器。Xilinx公司主要提供基于Power PC的硬核解決方案,而英特爾提供的是基于NIOSII的軟核解決方案。

(2) 基于IP庫的設(shè)計(jì)方法

未來的FPGA芯片密度不斷提高,傳統(tǒng)的基于HDL的代碼設(shè)計(jì)方法很難滿足超大規(guī)模FPGA的設(shè)計(jì)需要。隨著專業(yè)的IP庫設(shè)計(jì)公司不斷增多,商業(yè)化的IP庫種類會(huì)越來越全面,支持的FPGA器件也會(huì)越來廣泛。作為FPGA的設(shè)計(jì)者,主要的工作是找到適合項(xiàng)目需要的IP庫資源,然后將這些IP整合起來,完成頂層模塊設(shè)計(jì)。由于商業(yè)的IP庫都是通過驗(yàn)證的,因此整個(gè)項(xiàng)目的仿真和驗(yàn)證工作主要就是驗(yàn)證IP庫的接口邏輯設(shè)計(jì)的正確性。

(3) FPGA的動(dòng)態(tài)可重構(gòu)技術(shù)

FPGA動(dòng)態(tài)重構(gòu)技術(shù)主要是指對(duì)于特定結(jié)構(gòu)的FPGA芯片,在一定的控制邏輯的驅(qū)動(dòng)下,對(duì)芯片的全部或部分邏輯資源實(shí)現(xiàn)高速的功能變換,從而實(shí)現(xiàn)硬件的時(shí)分復(fù)用,節(jié),省邏輯資源。

由于密度不斷提高,F(xiàn)PGA能實(shí)現(xiàn)的功能也越來越復(fù)雜。FPGA全部邏輯配置一次的需要的時(shí)間也變長(zhǎng)了,降低了系統(tǒng)的實(shí)時(shí)性。局部邏輯的配置功能可以實(shí)現(xiàn)“按需動(dòng)態(tài)重構(gòu)”,大大提高了配置的效率。

(4) CPU+FPGA用于云數(shù)據(jù)中心

目前,圖片處理的需求正在快速成長(zhǎng),即源于用戶生成內(nèi)容、視頻圖片抓取等方式的圖片縮略圖生成,像素處理,圖片轉(zhuǎn)碼、智能分析處理需求不斷增加。眾多應(yīng)用迫切需要高性能,高性價(jià)比的圖片處理解決方案。在這種情況下,數(shù)據(jù)中心面臨著一個(gè)核心的考驗(yàn) —— 即用戶體驗(yàn)與服務(wù)成本之間的平衡。總地來說,目前存在的純CPU處理方案,TCO(服務(wù)器、電費(fèi)、帶寬、場(chǎng)地人員、成本)相對(duì)高昂,用戶體驗(yàn)也相對(duì)較差?;?FPGA+CPU 異構(gòu)計(jì)算的解決方案,通過高性能 FPGA 分擔(dān) CPU 處理任務(wù),提升吞吐性能,降低延遲,實(shí)現(xiàn)成本節(jié)約與能效比的提升。將CPU+FPGA用于云數(shù)據(jù)中心,應(yīng)用在信息高度敏感的領(lǐng)域,使用自主設(shè)計(jì)的芯片更能實(shí)現(xiàn)安全可控。

(5) FPGA芯片向高性能、高密度、低壓和低功耗的方向發(fā)展

隨著芯片生產(chǎn)工藝不斷提高,F(xiàn)PGA芯片的性能和密度都在不斷提高。早期的FPGA主要是完成接口邏輯設(shè)計(jì),比如AD/DA和DSP的粘合邏輯。現(xiàn)在的FPGA正在成為電路的核心部件,完成關(guān)鍵功能。

在高性能計(jì)算和高吞吐量1/0應(yīng)用方面,F(xiàn)PGA已經(jīng)取代了專用的DSP芯片,成為最佳的實(shí)現(xiàn)方案。因此,高性能和高密度也成為衡量FPGA芯片廠家設(shè)計(jì)能力的重要指標(biāo)。

隨著FPGA性能和密度的提高,功耗也逐漸成為了FPGA應(yīng)用的瓶頸。雖然FPGA比DSP等處理器的功耗低,但是要明顯高于專用芯片(ASIC)的功耗。FPGA的廠家也在采用各種新工藝和技術(shù)來降低FPGA的功耗,并且已經(jīng)取得了明顯的效果。



更多信息可以來這里獲取==>>電子技術(shù)應(yīng)用-AET<<

本站內(nèi)容除特別聲明的原創(chuàng)文章之外,轉(zhuǎn)載內(nèi)容只為傳遞更多信息,并不代表本網(wǎng)站贊同其觀點(diǎn)。轉(zhuǎn)載的所有的文章、圖片、音/視頻文件等資料的版權(quán)歸版權(quán)所有權(quán)人所有。本站采用的非本站原創(chuàng)文章及圖片等內(nèi)容無法一一聯(lián)系確認(rèn)版權(quán)者。如涉及作品內(nèi)容、版權(quán)和其它問題,請(qǐng)及時(shí)通過電子郵件或電話通知我們,以便迅速采取適當(dāng)措施,避免給雙方造成不必要的經(jīng)濟(jì)損失。聯(lián)系電話:010-82306118;郵箱:aet@chinaaet.com。