《電子技術(shù)應(yīng)用》
您所在的位置:首頁(yè) > 嵌入式技術(shù) > 業(yè)界動(dòng)態(tài) > 新思科技聯(lián)合臺(tái)積公司加快N3制程創(chuàng)新,實(shí)現(xiàn)新一代芯片設(shè)計(jì)

新思科技聯(lián)合臺(tái)積公司加快N3制程創(chuàng)新,實(shí)現(xiàn)新一代芯片設(shè)計(jì)

2020-10-12
來源:與非網(wǎng)

  加州山景城 2020 年 10 月 10 日 / 美通社 / --

  新思科技(Synopsys, Inc.,納斯達(dá)克股票代碼:SNPS)近日宣布,其數(shù)字和定制設(shè)計(jì)平臺(tái)已獲得臺(tái)積公司 3 奈米制程技術(shù)驗(yàn)證。此次驗(yàn)證基于臺(tái)積公司的最新設(shè)計(jì)參考手冊(cè)(DRM)和工藝設(shè)計(jì)工具包(PDK),是經(jīng)過廣泛合作與嚴(yán)格驗(yàn)證的結(jié)果。該驗(yàn)證旨在提供設(shè)計(jì)解決方案,在獲得優(yōu)化 PPA 性能的同時(shí)加快新一代設(shè)計(jì)的進(jìn)程。

  臺(tái)積公司設(shè)計(jì)及基礎(chǔ)設(shè)施管理部資深部長(zhǎng) Suk Lee 表示:“我們與新思科技多年的合作成果顯著,新思科技基于臺(tái)積公司先進(jìn)制程的平臺(tái)解決方案協(xié)助我們的客戶實(shí)現(xiàn)芯片創(chuàng)新,利用臺(tái)積公司 N3 制程技術(shù)顯著降低芯片功耗、提升芯片性能,并加速新產(chǎn)品上市的時(shí)間。對(duì)新思科技設(shè)計(jì)解決方案進(jìn)行驗(yàn)證令我們的共同客戶能夠基于臺(tái)積公司 N3 制程完成芯片設(shè)計(jì),實(shí)現(xiàn) PPA 優(yōu)化?!?/p>

  通過與臺(tái)積公司密切合作,新思科技開發(fā)了一系列關(guān)鍵的功能和新技術(shù),從而確保從綜合、布局布線到時(shí)序和物理簽核在臺(tái)積公司 N3 制程實(shí)現(xiàn)全流程一致性。新思科技的 Fusion Compiler?RTL-to-GDSII 解決方案和 IC Compiler? II 布局布線解決方案全面支持臺(tái)積公司 N3 制程。新思科技的 Design Compiler?  NXT 綜合解決方案得到增強(qiáng),讓開發(fā)者能夠充分利用臺(tái)積公司 N3 技術(shù)優(yōu)勢(shì),獲得高質(zhì)量的設(shè)計(jì)結(jié)果(QoR),并利用高精度的全新電阻和電容估計(jì)方法實(shí)現(xiàn)與 IC Compiler? II 布局布線解決方案關(guān)聯(lián)的一致性。PrimeTime?  簽核解決方案支持 Advanced Mulit-input Switching(MIS),以實(shí)現(xiàn)準(zhǔn)確的時(shí)序分析和簽核收斂。此外,Design Compiler NXT 支持臺(tái)積公司 N3 制程多種工藝,以實(shí)現(xiàn)高性能計(jì)算和移動(dòng)芯片設(shè)計(jì)。

  根據(jù)臺(tái)積公司 N3 制程技術(shù)特點(diǎn),新思科技進(jìn)一步增強(qiáng)了支持引腳密度感知布局和全局布線建模的數(shù)字設(shè)計(jì)平臺(tái),以實(shí)現(xiàn)更好的標(biāo)準(zhǔn)單元引腳布線收斂;協(xié)同單元放置檢查和優(yōu)化(CLO),以實(shí)現(xiàn)更快的時(shí)序收斂;通過新的單元映射(單元密度)基礎(chǔ)架構(gòu),最大化利用空余空間來改善 PPA;并通過自動(dòng)生成過孔支柱(via pillar)和部分平行布線實(shí)現(xiàn)互連優(yōu)化,以實(shí)現(xiàn)高性能設(shè)計(jì);優(yōu)化功耗感知混合驅(qū)動(dòng)強(qiáng)度多位觸發(fā)器(MBFF),以實(shí)現(xiàn)低功耗設(shè)計(jì)。

  在新思科技定制的設(shè)計(jì)平臺(tái)中增強(qiáng)了 Custom Compiler 的功能,以加快實(shí)現(xiàn) N3 模擬芯片設(shè)計(jì)。這些功能增強(qiáng)是與 N3 早期用戶(包括 DesignWare?IP 團(tuán)隊(duì))共同開發(fā)并驗(yàn)證的,可減少新設(shè)計(jì)規(guī)則和其他 N3 技術(shù)要求所需的工作量。新思科技 HSPICE?、FineSim?和 CustomSim?仿真解決方案有助于縮短基于臺(tái)積公司 N3 制程技術(shù)芯片設(shè)計(jì)的時(shí)間,并為臺(tái)積公司 N3 電路仿真和可靠性要求提供簽核覆蓋。

  新思科技設(shè)計(jì)事業(yè)部系統(tǒng)解決方案及生態(tài)系統(tǒng)支持高級(jí)副總裁 Charles Matar 表示:“通過與臺(tái)積公司合作,為其先進(jìn)的 N3 制程技術(shù)提供高度差異化的解決方案,使客戶更有信心開始設(shè)計(jì)日益復(fù)雜的芯片,并使開發(fā)者能夠充分利用先進(jìn) EUV 制程顯著改進(jìn) PPA,加快其差異化芯片的創(chuàng)新。”

  新思科技的 N3 技術(shù)制程文件可從臺(tái)積公司獲取。新思科技設(shè)計(jì)平臺(tái)的關(guān)鍵產(chǎn)品獲得了以下認(rèn)證:

  數(shù)字設(shè)計(jì)解決方案

  Fusion Compiler 和 IC Compiler II 布局布線解決方案

  簽核平臺(tái)

  PrimeTime 時(shí)序簽核

  PrimePower 功耗簽核

  StarRC?提取簽核

  IC Validator 物理簽核

  NanoTime 定制時(shí)序簽核

  ESP-CV 定制功能驗(yàn)證

  QuickCap? NX 寄生參數(shù)場(chǎng)解算器

  SPICE 仿真和定制設(shè)計(jì)

  HSPICE,、CustomSim 和 FineSim 仿真解決方案

  CustomSim 可靠性分析

  Custom Compiler?定制設(shè)計(jì)


本站內(nèi)容除特別聲明的原創(chuàng)文章之外,轉(zhuǎn)載內(nèi)容只為傳遞更多信息,并不代表本網(wǎng)站贊同其觀點(diǎn)。轉(zhuǎn)載的所有的文章、圖片、音/視頻文件等資料的版權(quán)歸版權(quán)所有權(quán)人所有。本站采用的非本站原創(chuàng)文章及圖片等內(nèi)容無法一一聯(lián)系確認(rèn)版權(quán)者。如涉及作品內(nèi)容、版權(quán)和其它問題,請(qǐng)及時(shí)通過電子郵件或電話通知我們,以便迅速采取適當(dāng)措施,避免給雙方造成不必要的經(jīng)濟(jì)損失。聯(lián)系電話:010-82306118;郵箱:aet@chinaaet.com。