《電子技術(shù)應(yīng)用》
您所在的位置:首頁 > 可編程邏輯 > 設(shè)計應(yīng)用 > 基于CPLD的VGA時序彩色信號的實現(xiàn)
基于CPLD的VGA時序彩色信號的實現(xiàn)
來源:微型機與應(yīng)用2013年第1期
沙晶晶
(江蘇信息職業(yè)技術(shù)學(xué)院,江蘇 無錫 214153)
摘要: 采用可編程邏輯器件CPLD設(shè)計一個簡易VGA視頻信號產(chǎn)生模塊,經(jīng)過軟硬件調(diào)試,最終在顯示器上顯示彩色方塊或線條等正確圖像。利用此原理,可以設(shè)計更多的彩色圖像,且可將采集的圖像進行實時顯示,將此作為信號源,應(yīng)用于顯示器電路的開發(fā)或某些嵌入式系統(tǒng)中,進行視頻設(shè)備的調(diào)試與性能分析或系統(tǒng)中信號處理模塊的調(diào)試與性能分析等。
Abstract:
Key words :

摘  要: 采用可編程邏輯器件CPLD設(shè)計一個簡易VGA視頻信號產(chǎn)生模塊,經(jīng)過軟硬件調(diào)試,最終在顯示器上顯示彩色方塊或線條等正確圖像。利用此原理,可以設(shè)計更多的彩色圖像,且可將采集的圖像進行實時顯示,將此作為信號源,應(yīng)用于顯示器電路的開發(fā)或某些嵌入式系統(tǒng)中,進行視頻設(shè)備的調(diào)試與性能分析或系統(tǒng)中信號處理模塊的調(diào)試與性能分析等。
關(guān)鍵詞: 視頻圖形陣列;可編輯邏輯器件;VGA時序

 目前產(chǎn)生VGA時序彩色圖形主要通過FPGA設(shè)計,本文用CPLD芯片設(shè)計一個簡易VGA視頻顯示模塊。利用CPLD完成VGA顯示控制,可以使圖像的顯示脫離傳統(tǒng)的通用處理器的控制,從而使系統(tǒng)體積更小,功耗更低,改進更方便。經(jīng)軟硬件設(shè)計與調(diào)試,最終在顯示器上顯示彩色方塊或線條等正確圖像。利用此原理,可以設(shè)計更多的彩色圖像,并可將采集的圖像實時顯示,將此作為信號源,可應(yīng)用于彩色等離子顯示器電路的開發(fā)或某些嵌入式系統(tǒng)中檢測和驗證系統(tǒng)的性能,因此具有重要的現(xiàn)實意義。
1  硬件設(shè)計
1.1 VGA接口

 硬件電路設(shè)計原理如圖1所示。VGA接口有15個引腳,定義如下:1號(紅基色),2號(綠基色),3號(藍基色),4號(地址碼),5號(自測試),6號(紅地),7號(綠地),8號(藍地),9號(保留),10號(數(shù)字地),11號(地址碼),12號(地址碼),13號(行同步),14號(場同步),15(地址碼)。


1.2 CPLD器件及主要參數(shù)選擇
 可從硬件資源配置、芯片體積、封裝形式、使用環(huán)境、調(diào)試難易度、產(chǎn)品出現(xiàn)年限、使用生命力、成本及貨源等方面選擇器件。對于CPLD器件,Altera公司MAX II系列的EPM240T100C5芯片滿足設(shè)計需求,內(nèi)部含有240個LE,3.3 V供電,TQFP貼片封裝,100個管腳,商業(yè)級,一般用于室內(nèi)消費類電子,溫度范圍為0~70℃。VGA接口輸入模擬電壓為0~0.7 V,顯示器輸入阻抗為75 Ω,通過分壓電阻網(wǎng)絡(luò)來實現(xiàn)。時鐘頻率由外部晶振提供,本電路選用20 MHz。
2 軟件設(shè)計
2.1 顯示接口原理[1-2]

 常見的彩色顯示器一般由CRT(陰極射線管)構(gòu)成,彩色是由R、G、B三基色組成。顯示采用逐行掃描的方式,陰極射線槍發(fā)出電子束打在涂有熒光粉的熒光屏上,產(chǎn)生三基色,合成一個色彩像素。掃描從屏幕的左上方開始,從左到右、從上到下逐行掃描,每掃完一行,電子束回到屏幕的左下邊一行的起始位置。在這期間,CRT對電子束進行消隱,每行結(jié)束時,用行同步信號進行行同步,掃描完所有行,用場同步信號進行場同步,并使掃描回到屏幕的左上方,同時進行場消隱,并預(yù)備進行下一次的掃描。
2.2 VGA時序控制[1],[3-4]
 常見的圖像顯示模式參考時序數(shù)據(jù)如表1所示。VGA接口輸入信號即紅、綠、藍三基色信號,行同步信號、場同步信號均需要滿足上述給出的時序要求。

 若實現(xiàn)800×600SVGA(60 Hz)圖像模式,時鐘點頻率為40 MHz(即像素的輸出頻率)。本設(shè)計中采用晶體頻率為20 MHz,在這種時鐘頻率下,圖像實際顯示的分辨率為400×600,即每行顯示400個像素,每場顯示600行。事實上,這400×600是顯示器的有效顯示圖像區(qū),除了這個區(qū)域之外,還有行消隱區(qū)及場消隱區(qū),以實現(xiàn)行列的同步操作。
 對于行同步信號,行頻率為1/((3.2+2.2+20+1)μs)=37.87 kHz。行掃描時序圖轉(zhuǎn)化為像素點,如表2所示。
 每行實際像素為528個,在這528個像素中,400點是有效顯示區(qū),128點是消隱區(qū)。行同步低電平有64個脈沖。
 
 每場實際的行數(shù)為628行,600行是有效顯示區(qū),28行是消隱區(qū)。場同步低電平寬度是4行。對像素進行行計數(shù)和場計數(shù),并在相應(yīng)的顯示區(qū)域填充不同的顏色信號。
2.3 VGA時序信號產(chǎn)生模塊設(shè)計
 (1)在Altera公司的Quartus II開發(fā)平臺下運用Verilog HDL語言進行編程,根據(jù)行計數(shù)器值hcnt和場計數(shù)器的值vcnt產(chǎn)生色塊圖形。色塊顯示流程圖如圖3所示。

 

 

3 軟件仿真與功能實現(xiàn)情況
 仿真結(jié)果如圖4所示。程序下載經(jīng)調(diào)試后,實現(xiàn)效果如圖5所示。

 本文采用CPLD設(shè)計并實現(xiàn)了簡易VGA視頻信號的顯示,軟硬件調(diào)試結(jié)果驗證了原理的正確性。電路結(jié)構(gòu)簡單、成本低,通過修改程序可以產(chǎn)生更多的圖像信號,以滿足不同的需要,本設(shè)計具有一定的研究和應(yīng)用價值。
參考文獻
[1] 鄭美芳,高曉蓉,王黎,等.基于FPGA的VGA時序彩條信號實現(xiàn)[J].現(xiàn)代電子技術(shù),2009,32(14):90-92.
[2] 陳姚節(jié),盧建華.基于FPGA的VGA顯示接口的研究與設(shè)計[J].交通與計算機,2005,23(2):47-49.
[3] 曹允.基于FPGA的VGA時序彩條信號實現(xiàn)方法及其應(yīng)用[J].電子技術(shù)應(yīng)用,2002,28(7):42-45.
[4] 王亮,李正,等.VGA漢字顯示的FPGA設(shè)計與實現(xiàn)[J].計算機工程與設(shè)計,2009,30(2):275-277.

此內(nèi)容為AET網(wǎng)站原創(chuàng),未經(jīng)授權(quán)禁止轉(zhuǎn)載。