《電子技術(shù)應(yīng)用》
您所在的位置:首頁 > 測(cè)試測(cè)量 > 設(shè)計(jì)應(yīng)用 > 基于木馬特征風(fēng)險(xiǎn)敏感的硬件木馬檢測(cè)方法
基于木馬特征風(fēng)險(xiǎn)敏感的硬件木馬檢測(cè)方法
電子技術(shù)應(yīng)用
李林源,徐金甫,嚴(yán)迎建,劉燕江
(信息工程大學(xué) 信息安全重點(diǎn)實(shí)驗(yàn)室,河南 鄭州 450000)
摘要: 針對(duì)現(xiàn)有硬件木馬檢測(cè)方法中存在的木馬檢出率偏低問題,提出一種基于木馬特征風(fēng)險(xiǎn)敏感的門級(jí)硬件木馬檢測(cè)方法。通過分析木馬電路的結(jié)構(gòu)特征和信號(hào)特征,構(gòu)建11維硬件木馬特征向量;提出了基于Borderline-SMOTE的硬件木馬特征擴(kuò)展算法,有效擴(kuò)充了訓(xùn)練數(shù)據(jù)集中的木馬樣本信息;基于PSO智能尋優(yōu)算法優(yōu)化SVM模型參數(shù),建立了木馬特征風(fēng)險(xiǎn)敏感分類模型。該方法基于Trust-Hub木馬庫中的17個(gè)基準(zhǔn)電路展開實(shí)驗(yàn)驗(yàn)證,其中16個(gè)基準(zhǔn)電路的平均真陽率(TPR)達(dá)到100%,平均真陰率(TNR)高達(dá)99.04%,與現(xiàn)有的其他檢測(cè)方法相比,大幅提升了硬件木馬檢出率。
中圖分類號(hào):TP309+.1
文獻(xiàn)標(biāo)志碼:A
DOI: 10.16157/j.issn.0258-7998.223339
中文引用格式: 李林源,徐金甫,嚴(yán)迎建,等. 基于木馬特征風(fēng)險(xiǎn)敏感的硬件木馬檢測(cè)方法[J]. 電子技術(shù)應(yīng)用,2023,49(6):35-43.
英文引用格式: Li Linyuan,Xu Jinfu,Yan Yingjian,et al. Hardware Trojan detection method based upon Trojan cost-sensitive[J]. Application of Electronic Technique,2023,49(6):35-43.
Hardware Trojan detection method based upon Trojan cost-sensitive
Li Linyuan,Xu Jinfu,Yan Yingjian,Liu Yanjiang
(Key Laboratory of Information Security, Information Engineering University, Zhengzhou 450000, China)
Abstract: In the existing hardware Trojan detection methods, there is problem of low detection rate. Therefore, a cost-sensitive hardware Trojan detection was proposed. By analyzing the structural and signal features of Trojan circuits, an 11 dimensional Trojan feature vector was established. A Trojan feature expansion algorithm based on Borderline-SMOTE was proposed, which effectively expanded the Trojan sample information in the training set. Based on PSO algorithm, the parameters of SVM model were optimized, and a cost-sensitive classification model was established. 17 benchmark circuits from the Trust-Hub were used to verify the efficacy of the proposed approach. Among them, the TPR of 16 benchmark circuits is 100%, and the average TNR is as high as 99.04%. Compared with other existing methods, the detection rate of Trojan is improved greatly.
Key words : hardware Trojan detection;cost-sensitive;PSO;SVM classification model

0 引言

近些年來,隨著半導(dǎo)體產(chǎn)業(yè)的蓬勃發(fā)展,集成電路(IC)設(shè)計(jì)和制造的外包已成常態(tài),這為惡意的第三方供應(yīng)商在IC中植入硬件木馬提供了機(jī)會(huì)。硬件木馬一旦被激活,可能導(dǎo)致IC功能的改變、泄露內(nèi)部信息、降低電路可靠性,甚至使芯片失效??紤]到木馬電路為硬件安全帶來的巨大威脅,硬件木馬檢測(cè)的研究一直在積極進(jìn)行。然而,硬件木馬的設(shè)計(jì)和檢測(cè)相互促進(jìn)、同步發(fā)展,即一種新的檢測(cè)方法被提出后,攻擊者會(huì)立即設(shè)計(jì)出一種新的硬件木馬,以規(guī)避該檢測(cè)方法。因此,如何實(shí)現(xiàn)對(duì)未知硬件木馬的有效檢測(cè)是一個(gè)亟待解決的問題。鑒于此問題,一種基于機(jī)器學(xué)習(xí)的硬件木馬檢測(cè)方法被提出,通過分析和提取木馬電路的特征,建立硬件木馬特征數(shù)據(jù)庫,應(yīng)用機(jī)器學(xué)習(xí)模型進(jìn)行分類器的訓(xùn)練,使用訓(xùn)練好的分類器檢測(cè)門級(jí)網(wǎng)表中可能被植入的硬件木馬。該方法不需要純凈的黃金網(wǎng)表作為參考,當(dāng)新類型的硬件木馬出現(xiàn)時(shí),可以通過更新特征數(shù)據(jù)庫擴(kuò)大檢測(cè)范圍,實(shí)現(xiàn)對(duì)新型木馬的覆蓋,因而得到廣泛的研究。



本文詳細(xì)內(nèi)容請(qǐng)下載:http://ihrv.cn/resource/share/2000005345




作者信息:

李林源,徐金甫,嚴(yán)迎建,劉燕江

(信息工程大學(xué) 信息安全重點(diǎn)實(shí)驗(yàn)室,河南 鄭州 450000)


微信圖片_20210517164139.jpg

此內(nèi)容為AET網(wǎng)站原創(chuàng),未經(jīng)授權(quán)禁止轉(zhuǎn)載。