《電子技術(shù)應(yīng)用》
您所在的位置:首頁 > 模擬設(shè)計 > 業(yè)界動態(tài) > 薄膜沉積設(shè)備國產(chǎn)替代空間廣闊 需注重協(xié)同、專利與整合

薄膜沉積設(shè)備國產(chǎn)替代空間廣闊 需注重協(xié)同、專利與整合

2021-12-22
來源:手機(jī)中國聯(lián)盟官博
關(guān)鍵詞: 薄膜沉積設(shè)備 CVD PVD

- 薄膜沉積設(shè)備CVDPVD多線并舉,國內(nèi)設(shè)備廠應(yīng)在量大面廣的PECVD、ALD以及PVD領(lǐng)域加強(qiáng)研發(fā)與量產(chǎn);

- 在下游晶圓廠擴(kuò)產(chǎn)增效、邏輯芯片代工廠先進(jìn)產(chǎn)線占比提升以及3D NAND技術(shù)普及等,均將進(jìn)一步推動薄膜沉積設(shè)備的行業(yè)空間擴(kuò)容,并對薄膜工藝和材料的精密化、多樣化要求催生更多行業(yè)增長點(diǎn),為國產(chǎn)替代提供新契機(jī);

- 半導(dǎo)體設(shè)備的國產(chǎn)化是一大系統(tǒng)工程,國內(nèi)薄膜沉積設(shè)備廠商要從加強(qiáng)研發(fā)開始,注重專利池,同時也需要代工廠在供應(yīng)鏈環(huán)節(jié),給予國內(nèi)設(shè)計廠商更多的驗證與試錯機(jī)會,并借力并購來不斷發(fā)展壯大。

實現(xiàn)我國半導(dǎo)體產(chǎn)業(yè)鏈的自主可控,半導(dǎo)體設(shè)備可謂至關(guān)重要,直接關(guān)系芯片設(shè)計能否落成實物、產(chǎn)品可靠性和良率能否達(dá)到設(shè)計標(biāo)準(zhǔn)、國內(nèi)行業(yè)是否能夠參與全球競爭。而晶圓制造是半導(dǎo)體制造過程中最關(guān)鍵也是最復(fù)雜的環(huán)節(jié),占比為80%左右。據(jù)預(yù)測,今年全球半導(dǎo)體設(shè)備市場規(guī)模預(yù)計將達(dá)到953億美元,其中817億美元來自制造設(shè)備。

晶圓制造過程包括數(shù)百道工藝流程,涉及數(shù)十種半導(dǎo)體設(shè)備,要通過熱處理、薄膜沉積、涂光刻膠、曝光、烘焙、顯影、刻蝕等一系列步驟的循環(huán)往復(fù),其中光刻機(jī)、刻蝕機(jī)、薄膜沉積設(shè)備的技術(shù)難度最大,價值量占比最高,可謂是三大劍客,占據(jù)70%以上的市場。

薄膜沉積設(shè)備可以說牽一發(fā)而動全身。當(dāng)前,我國半導(dǎo)體設(shè)備依舊高度依賴于海外企業(yè),并且在核心技術(shù)和零部件上受到一定的限制。而中國作為半導(dǎo)體設(shè)備的重要市場,隨著各地半導(dǎo)體項目的林立,晶圓代工廠的產(chǎn)能擴(kuò)建熱潮以及自主可控進(jìn)程的推進(jìn),薄膜沉積設(shè)備廠商也迎來了快速成長和突破的新黃金期。

CVD和PVD多線并舉

作為制造過程中的一個重要環(huán)節(jié),薄膜沉積指在半導(dǎo)體的主要襯底材料“硅”上鍍一層膜,這層膜可以采用各種各樣的材料,如絕緣化合物二氧化硅、多晶硅、金屬銅等等,用來鍍膜的這一設(shè)備就叫薄膜沉積設(shè)備。

根據(jù)工作原理不同,薄膜沉積工藝可分為物理氣相沉積(PVD)、化學(xué)氣相沉積(CVD)兩大類。隨著制程精進(jìn),要沉積的層更多,薄膜沉積設(shè)備市場空間在不斷擴(kuò)大。

這兩大類設(shè)備各有用武之地,互為補(bǔ)充。

PVD是在真空條件下,采用物理方法,通過加熱或濺射過程將固態(tài)材料氣態(tài)化,然后使蒸汽在襯底表面凝結(jié)形成固態(tài)薄膜,多應(yīng)用于金屬的沉積。PVD工藝在經(jīng)歷了不斷的演變之后,由于濺射設(shè)備制備的薄膜更加均勻、致密,對襯底附著性強(qiáng),純度更高,因而濺射路線成為主流。

CVD是指通過氣體混合的化學(xué)反應(yīng)在硅片表面沉積薄膜的工藝,可應(yīng)用于絕緣薄膜、多晶硅以及金屬薄膜的沉積。根據(jù)反應(yīng)條件的不同又分為常壓CVD(APCVD)、低壓CVD(LPCVD)、等離子體增強(qiáng)CVD(PECVD)、高密度等離子體CVD(HDPCVD)以及原子層沉積(ALD)。APCVD主要應(yīng)用在二氧化硅和氮化硅的沉積,LPCVD主要應(yīng)用于多晶硅、二氧化硅及氮化硅的沉積。PECVD通過等離子產(chǎn)生的自由基來增加化學(xué)反應(yīng)速度,可以利用相對較低的溫度達(dá)到較高的沉積速率,廣泛應(yīng)用于氧化硅、氮化硅、低k、ESL和其他電介質(zhì)薄膜沉積。

從市場需求來看,由于薄膜沉積工藝中CVD技術(shù)路線較多,具有較好的孔隙填充和膜厚控制能力,CVD在金屬沉積方面的應(yīng)用正在增加。據(jù)Gartner統(tǒng)計,CVD始終是應(yīng)用最廣的沉積設(shè)備,市場空間近90億美元,占沉積設(shè)備整體市場份額的64%。其中,等離子體CVD與原子層沉積ALD成為最主流的CVD技術(shù),分別占到34%和13%的市場份額。PVD的應(yīng)用僅次于CVD,2020年濺射PVD設(shè)備的市場空間達(dá)到近30億美元,占比21%,應(yīng)用僅次于等離子體CVD。

要注意的是,一方面,PECVD 正成為化學(xué)氣相沉積的主流技術(shù)。引入等離子體可有效降低沉積工藝的熱預(yù)算,同時提升了沉積速率和對高深寬比孔隙的填充能力,使用等離子體的化學(xué)沉積工藝包括PECVD、HDPCVD 等。另一方面,ALD在膜層的均勻性、階梯覆蓋率以及厚度控制等方面都具有明顯的優(yōu)勢,在銅種子層、高K柵介質(zhì)淀積等工序中發(fā)揮著重要的作用,是新一代納米級CVD工藝。

據(jù)Gartner統(tǒng)計,2020年全球薄膜沉積設(shè)備市場空間約140億美元,占晶圓廠設(shè)備投資額的25%。到2024年P(guān)ECVD和ALD將分別占據(jù)CVD設(shè)備市場的51%和19%。

235a-ae7b4112ff9a5a4afa6bbb690132a5c5.png

2024年CVD市場占比 來源:Gartner

迎來全新黃金期

在多重因素的助推下,薄膜沉積設(shè)備將迎來全新的黃金期。

隨著5G、AI、云計算以及汽車電子端的旺盛需求,加上應(yīng)對全球缺芯以及加強(qiáng)制造業(yè)回流之舉,全球晶圓廠進(jìn)入新一輪擴(kuò)產(chǎn)周期,F(xiàn)AB廠商也在不斷上調(diào)資本開支預(yù)期。2021年半導(dǎo)體設(shè)備投資額有望實現(xiàn)30%以上增速。根據(jù)SEMI報告,預(yù)測全球半導(dǎo)體制造設(shè)備市場2021年全年將增長34%達(dá)到953億美元,2022年有望再創(chuàng)新高突破1000億美元大關(guān)。此外,受益于大陸晶圓廠擴(kuò)產(chǎn)增效,2020年大陸半導(dǎo)體設(shè)備銷售額187.2億美元,同比增長39%,首次超過中國臺灣地區(qū)成為全球第一大半導(dǎo)體設(shè)備市場,占全球份額由2016年的16%升至26%。

同時,隨著產(chǎn)線的逐漸升級和先進(jìn)制程產(chǎn)線占比提高,晶圓制造的復(fù)雜度和工序量都大大提升,對薄膜沉積設(shè)備數(shù)量和性能的需求將繼續(xù)隨之提升。據(jù)Gartner數(shù)據(jù),預(yù)計到2024年用于7nm以下制程的半導(dǎo)體設(shè)備出貨量占比有望突破30%。以SMIC的180nm的8英寸產(chǎn)線和90nm的12英寸產(chǎn)線為例,在實現(xiàn)相同芯片等效產(chǎn)能的情況下,對薄膜沉積設(shè)備的需求量將相應(yīng)增加4-5倍。

特別要指出的是,對于制程在14nm及以下的邏輯器件,由于普遍使用的浸沒式光刻機(jī)受到波長限制,加工將通過等離子體刻蝕和薄膜沉積的工藝組合,即多重模板效應(yīng)來實現(xiàn),這將使得相關(guān)薄膜設(shè)備的加工步驟增多,薄膜設(shè)備廠商將充分受益。而且,在閃存方面,隨著主流制造工藝已由2D發(fā)展為3D結(jié)構(gòu),其堆疊層數(shù)與薄膜工序直接掛鉤,隨著3D NAND的內(nèi)部層數(shù)不斷增高,對于薄膜沉積設(shè)備的需求提升的趨勢亦將延續(xù)。

展望未來,在下游晶圓廠擴(kuò)產(chǎn)增效、邏輯芯片代工廠先進(jìn)產(chǎn)線占比提升以及3D NAND技術(shù)普及等,均將進(jìn)一步推動薄膜沉積設(shè)備的行業(yè)空間擴(kuò)容。而且并對薄膜工藝和材料的精密化、多樣化要求將催生更多行業(yè)增長點(diǎn),為國產(chǎn)替代提供契機(jī)。

更要注意的是,先進(jìn)制程下對薄膜工藝和材料的精密化、多樣化要求將催生更多行業(yè)增長點(diǎn),產(chǎn)生各種薄膜沉積工藝設(shè)備份額的變化,并為國產(chǎn)替代提供契機(jī)。在薄膜性能方面,先進(jìn)制程的前段工藝對薄膜均勻性、顆粒數(shù)量控制、金屬污染控制的要求逐步提高,在設(shè)備種類方面,薄膜厚度控制精準(zhǔn)的ALD等設(shè)備將被更多地引入產(chǎn)線。

集微咨詢(JW insights)認(rèn)為,在這一大趨勢下,全球半導(dǎo)體薄膜沉積設(shè)備市場規(guī)模將因此高速增長,中國薄膜沉積設(shè)備行業(yè)將保持高成長性,未來市場規(guī)模將向兩三百億美元邁進(jìn)。

從市場格局來看,以應(yīng)用材料、泛林半導(dǎo)體、東京電子為代表的海外半導(dǎo)體設(shè)備供應(yīng)商由于起步較早,具備雄厚的研發(fā)實力和全面的產(chǎn)品譜系,在薄膜沉積尤其是CVD和PVD設(shè)備市場占有較大的份額,壟斷格局較為明顯。

據(jù)Gartner統(tǒng)計,2020年應(yīng)用材料、泛林半導(dǎo)體、東京電子在全球沉積設(shè)備的市場份額分別達(dá)到43%、19%和11%。應(yīng)用材料產(chǎn)品譜系最為全面,PVD 設(shè)備獨(dú)占 85%細(xì)分市場份額,在等離子體CVD中也有近49%的份額;泛林半導(dǎo)體在CVD及沉積后處理工藝布局全面,ECD設(shè)備一家獨(dú)大;東京電子以11%占有率位列第三,在管式CVD設(shè)備市場占有率達(dá)46%,APCVD、LPCVD 及 ALD 均處在行業(yè)前列。此外,半導(dǎo)體設(shè)備巨頭ASMI則在適用于先進(jìn)制程的ALD具備較強(qiáng)的技術(shù)儲備,在相應(yīng)細(xì)分市場占有率46%。

2020年全球薄膜沉積市場格局 來源:Gartner

相較于國內(nèi)設(shè)備市場擴(kuò)容速度,國產(chǎn)化率仍處在較低水平,未來薄膜沉積設(shè)備國產(chǎn)替代具備廣闊空間。

國產(chǎn)化突破之路

在薄膜沉積設(shè)備的賽道上,國內(nèi)廠商也在多線布局,但國產(chǎn)化率僅有2%,98%依賴進(jìn)口,未來替代空間巨大。

據(jù)集微咨詢(JW insights)不完全統(tǒng)計,在CVD領(lǐng)域,主要廠商有北方華創(chuàng)、中微半導(dǎo)體、拓荊科技、中電科48所、中晟光電、沈陽科儀等,PVD領(lǐng)域相對較少,主要有北方華創(chuàng)、中電科48所、沈陽科儀、創(chuàng)世威納等。

在這些廠商中,北方華創(chuàng)、拓荊科技和中微公司處于領(lǐng)先地位。

北方華創(chuàng)在CVD、PVD等相關(guān)設(shè)備領(lǐng)域已取得多項突破,覆蓋了90-14nm多個制程。在PVD設(shè)備方面,北方華創(chuàng)突破了多項關(guān)鍵技術(shù),建立了具有自主知識產(chǎn)權(quán)的核心技術(shù)優(yōu)勢,并成功進(jìn)入國際供應(yīng)鏈體系。在CVD設(shè)備方面,先后完成了PECVD、APCVD、LPCVD、ALD等設(shè)備的開發(fā),憑借優(yōu)秀的工藝性能和產(chǎn)能優(yōu)勢,在國內(nèi)外客戶獲得批量應(yīng)用。此外,在ALD設(shè)備方面也實現(xiàn)了零的突破。

拓荊科技則發(fā)力PECVD、ALD和SACVD三大類設(shè)備,已廣泛應(yīng)用于國內(nèi)晶圓廠14nm及以上制程集成電路制造產(chǎn)線,并已開展10nm及以下制程的驗證測試。ALD設(shè)備在國內(nèi)處于領(lǐng)先地位,同時也是國內(nèi)唯一一家產(chǎn)業(yè)化應(yīng)用的集成電路SACVD設(shè)備廠商。

而中微半導(dǎo)體除在刻蝕設(shè)備深耕之外,在薄膜沉積設(shè)備領(lǐng)域也在強(qiáng)力攻關(guān),持有拓荊科技11.2%股份。為與拓荊科技形成技術(shù)互補(bǔ),中微半導(dǎo)體在薄膜沉積技術(shù)的布局將集中在單晶外延以及金屬LPCVD兩個方向,并大量募資投入HPCVD、LPCVD、EPI等設(shè)備的開發(fā)及工藝應(yīng)用開發(fā)。

目前來看,單靠一家公司很難覆蓋全部CVD設(shè)備,北方華創(chuàng)、拓荊科技、中微公司等國內(nèi)廠商應(yīng)各有側(cè)重,全面布局,將有望實現(xiàn)國產(chǎn)設(shè)備在CVD設(shè)備的全覆蓋,當(dāng)然這還需要時間。

對于薄膜沉積設(shè)備的國產(chǎn)化之路,集微咨詢(JW insights)認(rèn)為,一方面半導(dǎo)體設(shè)備的國產(chǎn)化是一大系統(tǒng)工程,國內(nèi)薄膜沉積設(shè)備廠商要從加強(qiáng)研發(fā)開始,因半導(dǎo)體制造設(shè)備行業(yè)是一個高技術(shù)壁壘、高資金壁壘和高市場壁壘等為特征的行業(yè),研發(fā)周期長,前期需要持續(xù)的研發(fā)投入。而技術(shù)創(chuàng)新能力將是半導(dǎo)體設(shè)備企業(yè)平臺化、國際化的源動力,技術(shù)差異化創(chuàng)新也將是本土半導(dǎo)體設(shè)備企業(yè)縮小與國際品牌之間的差距,實現(xiàn)客戶全球化的必經(jīng)之路。

另一方面,半導(dǎo)體制造設(shè)備的驗證壁壘高,從設(shè)備樣機(jī)下線到最終交付客戶需要至少2年的時間,產(chǎn)業(yè)化周期長。因而這也需要代工廠在供應(yīng)鏈環(huán)節(jié),給予國內(nèi)設(shè)計廠商更多的驗證與試錯機(jī)會,同時國內(nèi)設(shè)備廠對于關(guān)鍵試錯結(jié)果要能迅速改正及提高,只有反復(fù)多次,才能逐步滿足量產(chǎn)要求。否則,成本很難降下來,質(zhì)量也難以提升。

隨著中芯國際、長江存儲、粵芯等擴(kuò)產(chǎn)將在2022年進(jìn)一步加大幅度,士蘭微、華虹華力、聞泰等持續(xù)擴(kuò)產(chǎn),2022年是本土晶圓廠將進(jìn)行產(chǎn)能最大幅度擴(kuò)張和制程技術(shù)持續(xù)提升的一年,是本土晶圓廠重塑行業(yè)格局、奠定行業(yè)地位的一年,因此本土半導(dǎo)體設(shè)備需求也將迎來邊際變化較大的一年,國內(nèi)在相對成熟設(shè)備PVD、PECVD等要著力尋求市占率的進(jìn)一步大幅提升,在新設(shè)備領(lǐng)域?qū)で蟾嗟尿炞C機(jī)會。

同時,要注重的是當(dāng)國內(nèi)設(shè)備廠商占據(jù)足夠的市場份額之際,一定會面臨專利糾紛。專利是國際半導(dǎo)體設(shè)備企業(yè)相互遵循的競爭準(zhǔn)則,國內(nèi)半導(dǎo)體設(shè)備廠商一定要培養(yǎng)專利意識,把控好專利特征度、創(chuàng)新性、有效性、合規(guī)穩(wěn)定性,形成技術(shù)威懾力才能有助于更長遠(yuǎn)地發(fā)展。

此外,國外半導(dǎo)體設(shè)備巨頭在行業(yè)深耕多年,已構(gòu)筑了堅固的護(hù)城河,國內(nèi)半導(dǎo)體制造設(shè)備企業(yè)目前還處于從0到1的突破階段,部分環(huán)節(jié)處于從1到N的升級階段,與國外巨頭存在很大差距。因而,在適當(dāng)時機(jī)通過并購等外延式成長途徑擴(kuò)大產(chǎn)品和市場覆蓋對于處于攻堅階段的國內(nèi)薄膜設(shè)備廠商來說是十分必要的。




最后文章空三行圖片.jpg


本站內(nèi)容除特別聲明的原創(chuàng)文章之外,轉(zhuǎn)載內(nèi)容只為傳遞更多信息,并不代表本網(wǎng)站贊同其觀點(diǎn)。轉(zhuǎn)載的所有的文章、圖片、音/視頻文件等資料的版權(quán)歸版權(quán)所有權(quán)人所有。本站采用的非本站原創(chuàng)文章及圖片等內(nèi)容無法一一聯(lián)系確認(rèn)版權(quán)者。如涉及作品內(nèi)容、版權(quán)和其它問題,請及時通過電子郵件或電話通知我們,以便迅速采取適當(dāng)措施,避免給雙方造成不必要的經(jīng)濟(jì)損失。聯(lián)系電話:010-82306118;郵箱:aet@chinaaet.com。