《電子技術(shù)應(yīng)用》
您所在的位置:首頁 > 其他 > 業(yè)界動(dòng)態(tài) > 臺積電再上頂峰

臺積電再上頂峰

2021-08-19
來源: 半導(dǎo)體行業(yè)觀察
關(guān)鍵詞: 臺積電 市值

  本周,臺積電 (TSMC) 市值再次超過騰訊,成為亞洲市值最高的公司。

  根據(jù) Refinitiv Eikon 截至8月18日上午的數(shù)據(jù),臺積電在亞洲公司中以超過 5380 億美元的市值位居榜首。騰訊以超過 5360 億美元的市值位居第二。

  就在本月初的8月3日,臺積電市值達(dá)到5520億美元,歷史性地超過了騰訊,成為亞洲市值最高的公司。不過,在那之后,騰訊的市值反彈,然后又回落,直到本周,臺積電再一次超越了騰訊。

  微信圖片_20210819100619.png

  能夠取得如此亮眼的成績,首要原因當(dāng)然是臺積電超強(qiáng)的晶圓代工技術(shù)實(shí)力及其市場占有率。此外,最近中國政府一系列反壟斷措施,對中國大陸的騰訊等互聯(lián)網(wǎng)頭部企業(yè)影響較大,客觀上幫助了臺積電。

  另外,由于疫情導(dǎo)致供應(yīng)鏈中斷以及汽車和數(shù)據(jù)中心等行業(yè)的需求激增,全球半導(dǎo)體短缺,作為晶圓代工廠龍頭的臺積電受益于此。

  以上體現(xiàn)的是臺積電在亞洲市場的地位,而對于這家備受關(guān)注的半導(dǎo)體企業(yè)來講,在過去一年多的時(shí)間內(nèi),其在全球半導(dǎo)體業(yè)地位的確立,還有兩個(gè)重要的時(shí)間點(diǎn)。

  第一個(gè)出現(xiàn)在2020年7月20日,當(dāng)時(shí),該公司市值達(dá)到3130億美元,超過了三星的2610 億美元和英偉達(dá)的2570億美元,成為全球最大的半導(dǎo)體公司。

  第二個(gè)時(shí)間點(diǎn)出現(xiàn)在今年4月27日,臺積電的市值達(dá)到5580億美元,是英特爾的(2390億美元)兩倍多。

  在過去的20年里,臺積電深耕技術(shù),穩(wěn)扎穩(wěn)打,在不斷贏得客戶的情況下,市值一直穩(wěn)步提升。經(jīng)過多年的積累,在最近5年結(jié)出了豐碩的果實(shí),不但工藝技術(shù)和市占率領(lǐng)袖群倫,其市值更是在近兩年內(nèi)如火箭般竄升。

  微信圖片_20210819100637.png

  從上圖可以看出,進(jìn)入2020年以后,臺積電的市值陡然上升。那么,在過去的這一年多時(shí)間內(nèi),該公司處在怎樣一個(gè)發(fā)展?fàn)顟B(tài)呢?下面從制程工藝技術(shù)、市場影響力、投資擴(kuò)產(chǎn)、營收等各方面看一下。

  先進(jìn)制程

  先進(jìn)制程已成為臺積電的名片,特別是7nm、5nm、3nm和2nm。

  7nm方面,臺積電已經(jīng)在這個(gè)節(jié)點(diǎn)上獲得了超過200個(gè)NTO,且大多投入量產(chǎn)。該公司已經(jīng)生產(chǎn)了超過10億顆7nm芯片。在7nm時(shí)代,臺積電還率先推出了使用EUV技術(shù)的7nm+工藝。在7nm基礎(chǔ)上,該公司推出了6nm工藝,這個(gè)平臺的一個(gè)主要特點(diǎn)是與7nm工藝平臺兼容,這樣,客戶很容易把7nm的設(shè)計(jì)移植到6nm。

  2020年,臺積電實(shí)現(xiàn)了5nm的量產(chǎn),與7nm相比,新工藝的速度提升了15%,功耗降低了30%,而邏輯密度則是前者的1.8倍。在良率方面,新工藝的進(jìn)展也非常順利。與此同時(shí),該公司還推出了增強(qiáng)版的N5P工藝制程,晶體管的速度提升了5%,功耗降低了10%,這將給HPC帶來新的機(jī)會(huì)。

  此外,臺積電還基于N5平臺推出了N4工藝,其速度、功耗和密度都有了改善。其最大的優(yōu)勢同樣是在于其與N5的兼容,使用5nm工藝設(shè)計(jì)的產(chǎn)品能夠輕易地轉(zhuǎn)移到4nm的平臺上。這也能保證臺積電客戶在每一代的投資,都能獲得更好的效益。N4試產(chǎn)將在2021年第四季度,而量產(chǎn)將會(huì)在2022年實(shí)現(xiàn)。

  目前,臺積電正在為3nm制程工藝量產(chǎn)做著準(zhǔn)備,在這代工藝上,臺積電會(huì)繼續(xù)采用FinFET。與5nm相比,臺積電3nm的速度將提升10%到15%,功耗將提升25%到30%,邏輯密度將是前者的1.7倍,SRAM密度也將能提升20%,就連模擬密度也提升了10%。根據(jù)臺積電規(guī)劃,3nm工藝將在2022年下半年進(jìn)行量產(chǎn)。

  2019年,臺積電率先開始了2nm制程技術(shù)的研發(fā)工作。相應(yīng)的技術(shù)開發(fā)的中心和芯片生產(chǎn)工廠主要設(shè)在臺灣地區(qū)的新竹,同時(shí)還規(guī)劃了4個(gè)超大型晶圓廠,主要用于2nm及更先進(jìn)制程的研發(fā)和生產(chǎn)。

  臺積電2019年成立了2nm專案研發(fā)團(tuán)隊(duì),尋找可行路徑進(jìn)行開發(fā)。在考量成本、設(shè)備相容、技術(shù)成熟及效能表現(xiàn)等多項(xiàng)條件之后,決定采用以環(huán)繞閘極(Gate-all-around,GAA)制程為基礎(chǔ)的MBCFET架構(gòu),解決FinFET因制程微縮產(chǎn)生電流控制漏電的物理極限問題。MBCFET和FinFET有相同的理念,不同之處在于GAA的柵極對溝道的四面包裹,源極和漏極不再和基底接觸。

  按照臺積電給出的2nm工藝指標(biāo),Metal Track(金屬單元高度)和3nm一樣維持在5x,同時(shí)Gate Pitch(晶體管柵極間距)縮小到30nm,Metal Pitch(金屬間距)縮小到20nm,相比于3nm都小了23%。

  按照規(guī)劃,臺積電有望在 2023 年中期進(jìn)入 2nm 工藝試生產(chǎn)階段,并于一年后開始批量生產(chǎn)。2020年9月,據(jù)臺灣地區(qū)媒體報(bào)道,臺積電2nm工藝取得重大突破,研發(fā)進(jìn)度超前,業(yè)界看好其2023年下半年風(fēng)險(xiǎn)試產(chǎn)良率就可以達(dá)到90%。

  目前,除了晶圓廠建設(shè)、臺積電2nm人才安排和培育方面的工作也正在有條不紊地進(jìn)行著,據(jù)報(bào)道,該公司在過去幾個(gè)月提拔了4名員工。這些舉措是為了讓這些員工有更多的精力投入到2nm制造工藝的研究和開發(fā)當(dāng)中。據(jù)悉,Geoffrey Yeap現(xiàn)在是2nm制程平臺研發(fā)部的高級總監(jiān)。這個(gè)位置在此之前是不存在的。當(dāng)該公司開始專注于2nm制程時(shí),創(chuàng)造這個(gè)位置是很重要的。臺積電對管理人員的學(xué)術(shù)要求很高。兩位新提拔的副總經(jīng)理都有博士學(xué)位。

  臺積電不僅在先進(jìn)制程方面處于霸主地位,在成熟和特殊制程領(lǐng)域同樣名列前茅,可以提供MEMS、圖像傳感器、嵌入式NVM,RF、模擬、高電壓和BCD功率IC等制程工藝。臺積電在基本的邏輯技術(shù)基礎(chǔ)上,會(huì)加上先進(jìn)的ULL&SRAM、RF&Analog及eNVM技術(shù),實(shí)現(xiàn)低功耗以及模擬技術(shù)的提升。

  為了實(shí)現(xiàn)低功耗,臺積電可提供0.18um eLL、90nm ULP、55ULP等制程,同時(shí),該公司還推出了最新的FinFET技術(shù)-N12e,可以打造高效高能的產(chǎn)品。

  臺積電在Sensor,Stacking和ASIC(ISP)方面都在延續(xù)自己的技術(shù)。Sensor方面從N65BSI 一直到N65BSI,Stacking方面,則是從BSI到Advanced Pixel Level Stack,ASIC(ISP)則是從N90LP到N65LP。

  市場影響力

  今年第一季度以來,臺積電繼續(xù)在全球晶圓代工廠商中占據(jù)龍頭位置,據(jù)TrendForce統(tǒng)計(jì),臺積電第一季度營收達(dá)到129.0億美元,同比增長2%,主要營收貢獻(xiàn)來自7nm制程,大客戶包括AMD、聯(lián)發(fā)科和高通,這幾家的訂單量持增長,使臺積電在該季度7nm工藝營收同比增長了23%。

  在12英寸晶圓先進(jìn)制程產(chǎn)能方面,臺積電一家獨(dú)大,而近一年,對其產(chǎn)能需求增長最快的非AMD莫屬了,特別是7nm訂單,由于AMD的ZEN 2 和即將推出的ZEN 3架構(gòu)CPU都是基于7nm制程的,而該公司在CPU市場的增長勢頭非常猛。另外,AMD的GPU也由臺積電代工生產(chǎn),且依然是以7nm制程為主。這些使得臺積電相關(guān)產(chǎn)能越發(fā)吃緊。

  來自供應(yīng)鏈的消息顯示,由于聯(lián)發(fā)科無法繼續(xù)給華為供貨手機(jī)芯片,前者原本要在臺積電投片的7nm制程芯片已暫停,這樣就釋放了約1.3萬片的12英寸晶圓代工產(chǎn)能,而這部分缺口很可能由AMD填補(bǔ)上。市場預(yù)期,索尼和微軟的新一代游戲機(jī)會(huì)缺貨到2021年中旬,這樣,AMD為這兩大客戶定制的CPU和GPU“錢”景樂觀。

  臺積電16nm和12nm制程則得益于聯(lián)發(fā)科5G射頻收發(fā)器和比特大陸礦機(jī)芯片需求強(qiáng)勁,營收同比增長近10%。

  不過,已量產(chǎn)的最先進(jìn)制程5nm,因?yàn)槭艿阶畲罂蛻籼O果處于生產(chǎn)淡季的影響,營收有所下滑。

  擴(kuò)產(chǎn)與投資

  臺積電宣布2021年資本支出由之前預(yù)估的250-280億美元提升至300億美元,其中逾8成用于先進(jìn)制程投資,而7nm、5nm、3nm、2nm這些制程產(chǎn)線都采用12英寸晶圓。

  不久前,臺積電還宣布3年投資1000億美元擴(kuò)建晶圓廠,并確認(rèn)將投資28.87億美元擴(kuò)充南京廠28nm制程工藝產(chǎn)能,每月增加4萬片晶圓產(chǎn)量,主要用于生產(chǎn)汽車芯片。

  臺積電指出,目前臺灣地區(qū)的晶圓廠已經(jīng)沒有潔塵室空間,只有南京廠有現(xiàn)成空間可用,可以直接設(shè)置生產(chǎn)線,有利于快速形成產(chǎn)能。按照計(jì)劃,臺積電南京廠的28nm制程產(chǎn)能將于2022年下半年量產(chǎn),2023年中達(dá)到4萬片晶圓/月的滿載產(chǎn)能目標(biāo)。目前,臺積電的南京工廠主要生產(chǎn)16nm芯片,月產(chǎn)能約為2萬片晶圓。

  臺積電要在5nm及更先進(jìn)制程保持領(lǐng)先,EUV光刻機(jī)是重要一環(huán),近年來,該公司不斷購入EUV設(shè)備,以維持先進(jìn)制程產(chǎn)能優(yōu)勢。臺積電于日前召開技術(shù)論壇,指出其EUV設(shè)備累計(jì)裝機(jī)數(shù)量到2020年已占全球總數(shù)的50%,到2020年為止,采用臺積電EUV技術(shù)生產(chǎn)的晶圓,占全球EUV光刻晶圓數(shù)的65%。而隨著制程推進(jìn)至5nm,每片晶圓采用EUV掩模層大幅拉升,臺積電預(yù)估2021年EUV掩模產(chǎn)能將是2019年的20倍。

  3nm方面,將增加EUV的使用量,效能將比5nm提升10-15%,功耗減少25-30%,邏輯密度增加1.7倍,SRAM密度提升1.2倍、模擬密度則提升1.1倍。

  隨著以上最先進(jìn)制程晶圓廠在未來1~3年內(nèi)逐步完成建設(shè)并投入量產(chǎn),以及美國亞利桑那州12吋廠第一期在2024年后進(jìn)入量產(chǎn),采用臺積電EUV技術(shù)的晶圓數(shù)將快速增長,其在EUV設(shè)備上的投資將越來越大。

  最近的營收表現(xiàn)

  2021年第二季度,臺積電營收 132.9 億美元,季增 2.9%,年增 28%,新臺幣營收 3721.5 億元新臺幣,季增 2.7%,年增 19.8%,毛利率 50%,季減 2.4 個(gè)百分點(diǎn),年減 3 個(gè)百分點(diǎn),稅后純益 1343.6 億元,季減 3.8%,年增 11.2%。

  臺積電上半年?duì)I收 262.08 億美元,新臺幣營收 7345.55 億元,年增 18.2%,毛利率 51.2%,年減 1.2 個(gè)百分點(diǎn),稅后純益 2740.49 億元新臺幣,年增 15.2%。

  可見,最近一季,臺積電的利潤同比依然呈現(xiàn)增長態(tài)勢,環(huán)比有所下降,主要受淡旺季交替影響所致。毛利率方面,臺積電一直都是業(yè)界最高的,本季出現(xiàn)同比和環(huán)比下降,并不影響其營收和利潤的優(yōu)秀表現(xiàn)。之所以有所下降,與其成本壓力有很大關(guān)系,因?yàn)樵摴驹?nm和3nm制程上投資巨大,而短期內(nèi)回報(bào)與投入難以呈現(xiàn)正比關(guān)系;另外,失去了華為海思這個(gè)一個(gè)最先進(jìn)制程的優(yōu)質(zhì)大客戶,對其在7nm和5nm方面的利潤率肯定會(huì)有影響;再者,面對行業(yè)普遍的漲價(jià)態(tài)勢,臺積電對原有客戶合同的變化很小,這在一定程度上也會(huì)對毛利率產(chǎn)生影響。

  展望下半年,臺積電預(yù)期8月及9月營收有望逐月回升,預(yù)期9月營收將再創(chuàng)單月營收歷史新高,第三季營收將達(dá)到業(yè)績展望上限,毛利率及營業(yè)利益率也有望貼近財(cái)測高標(biāo),而季度營收及獲利將同步續(xù)創(chuàng)新高紀(jì)錄。第四季因?yàn)樘O果新款A(yù)15及M2處理器放量出貨,5nm利用率達(dá)滿載,營運(yùn)表現(xiàn)值得期待。

  下半年,臺積電進(jìn)入傳統(tǒng)旺季,增長動(dòng)能來自于5nm新訂單陸續(xù)進(jìn)入量產(chǎn)。其中,蘋果M1X及后續(xù)推出的M2等都將在下半年采用5nm量產(chǎn),iPhone 13搭載的A15應(yīng)用處理器6月開始以臺積電加強(qiáng)版5nm量產(chǎn)投片,下半年逐月拉高投片量到第四季。

  另外,臺積電下半年5G手機(jī)芯片接單強(qiáng)勁,高通采用臺積電6nm量產(chǎn)新款5G手機(jī)芯片在第三季放量出貨,還有3款5G手機(jī)芯片將擴(kuò)大采用臺積電7nm或6nm制程投片,明年初將推出的新一代Snapdragon 895+傳出會(huì)在第四季采用臺積電5nm量產(chǎn),至于聯(lián)發(fā)科新一代天璣2000系列亦會(huì)在下半年導(dǎo)入5nm量產(chǎn)投片。

  結(jié)語

  在技術(shù)、影響力、市場需求等多種因素的共同作用下,臺積電的營收和市值節(jié)節(jié)攀升,市場預(yù)期依然向上。在未來一年內(nèi),很可能會(huì)再次打破一項(xiàng)或多項(xiàng)記錄。三星和英特爾追趕的腳步愈加沉重了。

  



微信圖片_20210517164139.jpg

本站內(nèi)容除特別聲明的原創(chuàng)文章之外,轉(zhuǎn)載內(nèi)容只為傳遞更多信息,并不代表本網(wǎng)站贊同其觀點(diǎn)。轉(zhuǎn)載的所有的文章、圖片、音/視頻文件等資料的版權(quán)歸版權(quán)所有權(quán)人所有。本站采用的非本站原創(chuàng)文章及圖片等內(nèi)容無法一一聯(lián)系確認(rèn)版權(quán)者。如涉及作品內(nèi)容、版權(quán)和其它問題,請及時(shí)通過電子郵件或電話通知我們,以便迅速采取適當(dāng)措施,避免給雙方造成不必要的經(jīng)濟(jì)損失。聯(lián)系電話:010-82306118;郵箱:aet@chinaaet.com。