《電子技術(shù)應(yīng)用》
您所在的位置:首頁 > 模擬設(shè)計 > 業(yè)界動態(tài) > 從架構(gòu)日“宣言書”到CES全面展示,英特爾如何布局下一個計算時代

從架構(gòu)日“宣言書”到CES全面展示,英特爾如何布局下一個計算時代

2019-01-19
關(guān)鍵詞: Intel CES 英特爾 加速器

了解PC硬件的朋友有言,Intel近幾年有點(diǎn)兒水逆了。

先是近幾代酷睿處理器的架構(gòu)都是小幅改良,運(yùn)算效能提升不大,被人冠以“牙膏廠”的外號,而10nm量產(chǎn)還要等待一段時間;AMD則憑借桌面端的Ryzen處理器叫好又叫座,雖然服務(wù)器領(lǐng)域的EPYC處理器一時間還沒對Xeon造成嚴(yán)重威脅,但若Intel再不搞些大手筆,怕也得擔(dān)心進(jìn)一步的失守。

外界似已產(chǎn)生不少質(zhì)疑。一向以架構(gòu)和工藝揚(yáng)名立萬的Intel,似乎在自己最拿手的領(lǐng)域有些hold不住,又被其他領(lǐng)域占據(jù)許多精力。這些貌似有些毫無章法的動作,讓一眾玩家紛紛懷疑,Intel到底還能拿出什么來穩(wěn)固在半導(dǎo)體產(chǎn)業(yè)的領(lǐng)導(dǎo)力?若真舍棄了架構(gòu)和工藝上的優(yōu)勢,Intel還能剩下什么?

“Intel是一家數(shù)據(jù)公司。”

1547796543920011631.jpg

在上個月的架構(gòu)日活動和剛剛過去的CES展會上,Intel的全新技術(shù)戰(zhàn)略和產(chǎn)品展示似乎給出了答案。

六大支柱的由來

無論是剛剛過去的CES大展,還是上個月的架構(gòu)日活動,Intel一直在著重介紹其聚焦于六個工程領(lǐng)域的全新技術(shù)戰(zhàn)略,即:

制程——擁有領(lǐng)先的制程技術(shù),仍是建構(gòu)領(lǐng)先的產(chǎn)品之關(guān)鍵。先進(jìn)的封裝解決方案在三維空間中擴(kuò)展晶體管密度,將帶來指數(shù)級提升計算密度的能力。

架構(gòu)——通過先進(jìn)的封裝和系統(tǒng)集成技術(shù),把多樣化的標(biāo)量(scalar)、矢量(vector)、矩陣(matrix)和空間(spatial)計算架構(gòu)組合部署到CPU、GPU、加速器和FPGA芯片中,并通過可擴(kuò)展的軟件堆棧釋放強(qiáng)大的能力。

內(nèi)存——大容量、高速度的存儲對于下一代計算工作負(fù)載至關(guān)重要。通過將閃存和傲騰技術(shù)相結(jié)合,可填補(bǔ)內(nèi)存層級中的空白,從而在更靠近硅芯片的地方提供帶寬。

超微互連——通信技術(shù)大到面向5G基礎(chǔ)設(shè)施的無線連接,小到芯片級封裝和裸片互連。只有提供全面的領(lǐng)先互連產(chǎn)品,才能實(shí)現(xiàn)大規(guī)模的異構(gòu)計算格局。

1547796543995012700.jpg

安全——隨著安全威脅的不斷涌現(xiàn),Intel可提供安全技術(shù),幫助實(shí)現(xiàn)端到端的全面提升,并讓安全性成為關(guān)鍵的差異化因素。

軟件——對于開發(fā)者來說,擁有一套利用好英特爾芯片的通用工具集,對于獲得性能的指數(shù)級擴(kuò)展至關(guān)重要。

對于大部分普通消費(fèi)者來說,關(guān)注點(diǎn)往往集中在架構(gòu)和工藝兩方面,筆者作為一名資深DIYer也不例外,這也是與我們最直接相關(guān)且日常接觸最多的領(lǐng)域。不過等到如愿以償?shù)臅r候,更多的卻是一種情理之中的感覺,反而是其他幾個新領(lǐng)域更能給人以新鮮的快感。

存在于回憶殺里的那個Intel,更多的是一家單純的PC處理器公司,然而實(shí)際上,Intel早已將自己定位為一家數(shù)據(jù)公司,并將“萬物互聯(lián)”定為未來發(fā)展趨勢。

圍繞這一點(diǎn),Intel自己對這次的CES已經(jīng)有了一個總結(jié):這些技術(shù)的演進(jìn),是在為更加多元化的數(shù)據(jù)時代奠定基石。

《福布斯》資深分析師Patrick Moorhead此前曾表示,Intel通過讓自己進(jìn)入更大的市場,其潛在市場規(guī)模從只聚焦于傳統(tǒng)筆記本電腦和服務(wù)器領(lǐng)域時的450億美元,升級到集存儲、網(wǎng)絡(luò)、IoT和軟件等多領(lǐng)域于一體的3000億美元。

以Intel傲騰技術(shù)為例,在如今這個大數(shù)據(jù)時代,數(shù)據(jù)的海量規(guī)模、多樣性和急劇增長已是司空見慣。Intel認(rèn)為,數(shù)據(jù)洪流不應(yīng)該僅僅視為一個存儲問題,還可將它視為一次數(shù)據(jù)優(yōu)化的機(jī)遇,一個成功的現(xiàn)代數(shù)據(jù)策略應(yīng)該是軟件定義的策略,以應(yīng)用要求為基礎(chǔ),并能實(shí)現(xiàn)智能分層。

傲騰技術(shù)平衡了RAM的高性能和非易失性存儲的大容量,通過將更多數(shù)據(jù)放到更接近CPU的位置,使應(yīng)用在人工智能和大型數(shù)據(jù)庫中的更大量的數(shù)據(jù)集能夠獲得更快的處理速度,減少進(jìn)行訪問時的延遲,從而提高工作負(fù)載的性能。

另一方面,5G也一直是Intel的一個技術(shù)和戰(zhàn)略重點(diǎn)。Intel數(shù)據(jù)中心事業(yè)部總經(jīng)理Navin Shenoy在CES上曾提到,5G的過渡及其對網(wǎng)絡(luò)的影響,在加速數(shù)據(jù)和催化軟件定義網(wǎng)絡(luò)方面,與從模擬向數(shù)字的飛躍一樣具有變革意義,屆時定制芯片將被容器技術(shù)取代。

即是說,我們正處于以數(shù)據(jù)為中心不斷演進(jìn)的世界中央,5G是未來創(chuàng)新平臺的DNA和基石,帶來無縫連接、幾乎無限的計算。

Navin Shenoy在會后透露,Intel將推出全新專門面向5G無線接入和邊緣計算的、基于10nm工藝的網(wǎng)絡(luò)系統(tǒng)芯片,研發(fā)代號“Snow Ridge”;使用多模5G LTE架構(gòu)的Intel XMM 5G調(diào)制解調(diào)器支持全部3個毫米波頻段和6GHz以下頻段,將在2019年下半年交付給合作伙伴,并在2020年初推出產(chǎn)品。

同時由于網(wǎng)絡(luò)束縛原理,一旦5G打破現(xiàn)有的通訊瓶頸,將會影響數(shù)據(jù)中心、云計算、邊緣計算等多個方向,Intel也將面向零售、視頻、工業(yè)和智慧城市等重點(diǎn)行業(yè),為物聯(lián)網(wǎng)設(shè)計高性能芯片,增強(qiáng)邊緣計算,并大力發(fā)展計算機(jī)視覺技術(shù)。

而作為一家傳統(tǒng)印象中的硬件公司,Intel對于軟件環(huán)境的重要性也是心明眼亮。說白了, 光是東西自身素質(zhì)好還不夠,還要讓大家用的好。完善的軟件環(huán)境所帶來的結(jié)果是,硬件底子差不多的情況下,Intel的產(chǎn)品可以跑的更快;實(shí)際表現(xiàn)差不多的兩套系統(tǒng),Intel的更好用。

這樣的例子在處理器發(fā)展史上比比皆是,不說別的,單單是Intel專屬ICC編譯器相對通用型GCC編譯器的性能優(yōu)勢,就讓其在服務(wù)器領(lǐng)域從容接下了AMD和Arm一次又一次的挑戰(zhàn)。這種“軟”實(shí)力,也是Intel二三十年來能將霸主地位越坐越穩(wěn)的重要因素。

歸結(jié)起來看,Intel是在利用Core架構(gòu)和Tick-Tock策略這些年積累下來的領(lǐng)先地位,為架構(gòu)和工藝這兩條好漢又拉來了四個幫。六大戰(zhàn)略支柱所針對的某些領(lǐng)域,在普通消費(fèi)者看來,似乎與傳統(tǒng)印象中以CPU起家的芯片巨頭并不搭邊,但其實(shí)都是對架構(gòu)和工藝的補(bǔ)完與助益。

未來會怎么樣?未來的競爭基礎(chǔ)是什么?怎樣給用戶帶來更多價值?

1547796544086030837.jpg

Gregory Bryant認(rèn)為,對整個計算系統(tǒng)的全面優(yōu)化是未來的競爭基礎(chǔ)。優(yōu)化不止針對于一個方面,而是看哪個公司能將最好的CPU、GPU、AI加速器、通信系統(tǒng)、高速存儲等部分有機(jī)的結(jié)合到一起,進(jìn)行全方位優(yōu)化,這樣才能最終給終端用戶帶來更多的價值。

Intel客戶端計算事業(yè)部總經(jīng)理Gregory Bryant在CES結(jié)束后解釋道,下一個計算時代要求創(chuàng)新在完全不同的層面進(jìn)行,涵蓋整個生態(tài)系統(tǒng)并橫跨計算、連接以及其它各個方面。六大戰(zhàn)略支柱為Intel建立了一個框架,是推動架構(gòu)和芯片開發(fā)的基石,而架構(gòu)師們則根據(jù)這些支柱,決定每年在發(fā)展路線圖上實(shí)現(xiàn)的具體目標(biāo)。

架構(gòu)和工藝

認(rèn)識過了這些相對陌生的技術(shù)領(lǐng)域后,回過頭來再看架構(gòu)和工藝這兩個傳統(tǒng)主場。

雖然上面分析了種種,看得出Intel在整個計算市場確實(shí)有著很大很長遠(yuǎn)的布局,可說回到與普通消費(fèi)者最直接相關(guān)的架構(gòu)和工藝上,不得不說Intel確實(shí)遇到了不少麻煩和困難:近幾代處理器的架構(gòu)都是小幅改良,運(yùn)算效能提升不大,10nm新工藝也是久攻不下。

好在今年的CES上,Intel展示了第一款10納米的Ice Lake處理器,以高集成度整合了Intel全新的“Sunny Cove”微架構(gòu)、AI使用加速指令集以及第11代核心顯卡,從而提升圖形性能。2019年晚些時候,Sunny Cove將成為下一代PC和服務(wù)器處理器的基礎(chǔ)架構(gòu)。

實(shí)際上,早在前幾年坊間便有傳聞稱,Intel已經(jīng)意識到Core架構(gòu)體系已經(jīng)開始難以應(yīng)對未來的發(fā)展需要,將在2020年前后推出全新的架構(gòu)體系,而這恰好與如今的情況相吻合,一定程度上也表明Intel對于發(fā)展路線的規(guī)劃還是比較全面且長遠(yuǎn)的。

雷鋒網(wǎng)之前的文章已先行分析過,Sunny Cove架構(gòu)旨在提高通用計算任務(wù)下每時鐘計算性能和降低功耗,并包含了可加速人工智能和加密等專用計算任務(wù)的新功能,能夠減少延遲、提高吞吐量,并提供更高的并行計算能力,有望改善從游戲到多媒體到以數(shù)據(jù)為中心的應(yīng)用體驗(yàn),其功能特性包括:

增強(qiáng)的微架構(gòu),可并行執(zhí)行更多操作。

可降低延遲的新算法。

增加關(guān)鍵緩沖區(qū)和緩存的大小,可優(yōu)化以數(shù)據(jù)為中心的工作負(fù)載。

針對特定用例和算法的架構(gòu)擴(kuò)展。例如,提升加密性能的新指令,如矢量AES和SHA-NI,以及壓縮/解壓縮等其它關(guān)鍵用例。

同時,隨著眼下數(shù)據(jù)中心的計算類型正如同寒武紀(jì)大爆發(fā)一樣增長,Intel也一直在構(gòu)建不同計算類型的產(chǎn)品組合,包括Intel傳統(tǒng)的CPU、Arria和Stratix的FPGA,及其Crest神經(jīng)網(wǎng)絡(luò)處理器等等。

Intel首席架構(gòu)師Raja Koduri在架構(gòu)日上曾指出,并非所有的晶體管都能適用于不同的場景,在不同的市場領(lǐng)域,需要的晶體管設(shè)計十分多樣,如通信晶體管、I/O晶體管、FPGA晶體管,以及傳統(tǒng)的CPU邏輯晶體管,即便可以用單一工藝大費(fèi)周章的制造大型單芯片系統(tǒng),也不是個明智的做法。

基于此,Intel在業(yè)界首創(chuàng)了名為Foveros的全新邏輯芯片3D堆疊技術(shù),可實(shí)現(xiàn)在邏輯芯片上堆疊邏輯芯片。這是一種系統(tǒng)級封裝集成,有望首次將晶片的堆疊從傳統(tǒng)的無源中間互連層和堆疊存儲芯片擴(kuò)展到CPU、GPU和AI處理器等高性能邏輯芯片,為整合高性能、高密度和低功耗硅工藝技術(shù)的器件和系統(tǒng)鋪平了道路。

Intel在CES現(xiàn)場展示了使用“Foveros”3D封裝技術(shù)混合CPU架構(gòu)和封裝架構(gòu)的Lakefield ,其采用22FFL IO芯片作為有源載板,并用TSV(硅通孔技術(shù))連接了一顆10nm芯片,其中包含1個Sunny Cove內(nèi)核和4個Atom內(nèi)核(可能是Tremont)。這款微型芯片尺寸為12*12,待機(jī)功率僅為2mW。

至于10nm工藝,雷鋒網(wǎng)曾分析認(rèn)為,由于晶體管制造的復(fù)雜性,單純用代次來對比已經(jīng)不再準(zhǔn)確。若以業(yè)內(nèi)常用晶體管密度來衡量制程水平,Intel 10nm工藝的晶體管密度反而要比臺積電的7nm DUV制程更高。

國外網(wǎng)站Semiwiki討論了三星的10nm、8nm DUV以及7nm EUV制程的情況,其中10nm制程的晶體管密度是55.5MTr/mm2,8mm DUV是64.4MTr/mm2,7nm EUV也不過101.23MTr/mm2,堪堪超過Intel 10nm制程一點(diǎn)點(diǎn)。

中國工程院院士許居衍曾在一次學(xué)術(shù)會議上提到,從晶體管尺寸數(shù)據(jù)來看,90nm節(jié)點(diǎn)的物理柵長是25nm,32nm節(jié)點(diǎn)的柵長是24nm,節(jié)點(diǎn)進(jìn)步帶來的物理柵長收益越來越小,縮小的制程節(jié)點(diǎn),實(shí)際上沒有縮小半導(dǎo)體最關(guān)鍵的、有源區(qū)的部位“源-漏”上的問題。

從指標(biāo)上可以看出,隨著節(jié)點(diǎn)的更迭,制造工藝?yán)響?yīng)隨著趨近極限而收益放緩,但I(xiàn)ntel對晶體管密度的要求不減反增,并提出了“超微縮”這一理念。雷鋒網(wǎng)認(rèn)為,這也是為了逆破收益放緩的屏障,更加有效的延續(xù)摩爾定律。

若將晶體管理解為字,將光刻工藝?yán)斫鉃楣P,那Intel就相當(dāng)于要在不輕易更換筆尖的情況下寫出更小的字,其中難度可想而知。雖然眼下進(jìn)程受阻,但從長遠(yuǎn)來看,超微縮的技術(shù)經(jīng)驗(yàn)顯然會為后續(xù)工藝帶來更大收益。

本屆CES 2019發(fā)布會上,Intel一口氣公布了10nm在PC、服務(wù)器、全新封裝技術(shù)以及5G應(yīng)用,涵蓋了六大支柱所涉及的所有方向??梢钥闯?,Intel在這個節(jié)點(diǎn)的布局上拼的并非是10nm工藝本身,而是圍繞10nm制程打造的完整生態(tài)體系。

前沿之前的探索

雷鋒網(wǎng)了解到,十年之前Intel曾做過一次路線圖預(yù)測,包括對新材料、新工藝的預(yù)估。現(xiàn)在回過頭來看,預(yù)測中大部分的方向都是沒有問題的。

在推進(jìn)摩爾定律的過程中,80%的工作是基于材料的改革,另外20%的工作則是在尋求化學(xué)工藝方面的進(jìn)步,如原子層沉積、原子層蝕刻等技術(shù),即不光要研究怎樣把芯片做小,還要研究怎樣用不同的方式來做這些器件。

據(jù)悉,Intel每年都會對半導(dǎo)體器件的性能進(jìn)行評估。這些研究可以進(jìn)一步發(fā)現(xiàn)如何更好的在電路中使用晶體管,如何將新式晶體管與新架構(gòu)、新功能相結(jié)合。目前來看,CMOS所處的位置還是很不錯的,其功耗和性能表現(xiàn)要優(yōu)于大部分望半導(dǎo)體元器件。至少在最近的十年里,還是要以CMOS為主來制造芯片,其他的新技術(shù)可以與CMOS混合使用以提高性能、降低功耗或降低價格。

同時,對于未來的新型處理器,Intel在幾個方面都有相應(yīng)的工作在進(jìn)行。例如神經(jīng)擬態(tài)芯片,目前已經(jīng)有了10nm的樣片,這是一種非馮·諾依曼架構(gòu)的芯片,完全把存儲和計算單元融合在了一起,模擬了神經(jīng)元和神經(jīng)元之間的連接,是一種異步控制的芯片,可以在片上進(jìn)行自學(xué)習(xí),支持無監(jiān)督學(xué)習(xí)、監(jiān)督學(xué)習(xí)、自監(jiān)督學(xué)習(xí)和強(qiáng)化學(xué)習(xí)模式。

而在量子計算方面,Intel已經(jīng)在7、17、49三種量子比特節(jié)點(diǎn)上進(jìn)行了大量實(shí)驗(yàn)。但量子的相互干渉是本世紀(jì)27個重大問題之一,目前只能做到毫秒級連續(xù)計算,且量子計算目前的容錯率只有99.9%,而傳統(tǒng)芯片為99.9999999%,因此硅CMOS+馮·諾依曼的模式還要持續(xù)很長一段時間。

Intel中國研究院院長宋繼強(qiáng)稱,CMOS的微縮還會繼續(xù)進(jìn)行下去,但會通過材料、化學(xué)工藝等不同方法來實(shí)現(xiàn),可以通過3D封裝技術(shù)將晶體管堆疊起來,此外還有一些新的電路控制方式,可以讓摩爾定律繼續(xù)推進(jìn)下去。

可見,對于后摩爾定律時代,Intel的后手還很充足。

雷鋒網(wǎng)總結(jié)

新的時代,是Intel幾十年來最大的轉(zhuǎn)變和革新。

如果說英特爾的架構(gòu)日是“宣言書”,那么它在CES上一系列創(chuàng)新技術(shù)、產(chǎn)品與合作的發(fā)布,則是對“宣言書”更全面、更深刻的展示,意味著它對自己的方向更加地堅定和充滿自信。

雷鋒網(wǎng)認(rèn)為,Intel的新戰(zhàn)略需要從兩個角度來衡量,“這是否是市場所需”,“它是否可以實(shí)現(xiàn)”。六大支柱戰(zhàn)略非常有野心??紤]到不斷變化的數(shù)據(jù)世界和摩爾定律的現(xiàn)狀,這一策略很適合Intel。

順便說一句,不僅是Intel,摩爾定律也是每家芯片廠商需要面臨的新現(xiàn)實(shí)。如果芯片廠商未來五年還堅持只做單一的大芯片,很可能會被市場拋棄。

Intel的財務(wù)狀況一直很好,但面對市場上出現(xiàn)的動蕩,Intel的大手筆很大膽,也很艱難。在接下來的一年里,Intel還會有很多里程碑式的重要披露,雷鋒網(wǎng)也會進(jìn)一步追蹤并研究Intel的新戰(zhàn)略,看它如何落地,是否能引起大轉(zhuǎn)折,敬請期待。


本站內(nèi)容除特別聲明的原創(chuàng)文章之外,轉(zhuǎn)載內(nèi)容只為傳遞更多信息,并不代表本網(wǎng)站贊同其觀點(diǎn)。轉(zhuǎn)載的所有的文章、圖片、音/視頻文件等資料的版權(quán)歸版權(quán)所有權(quán)人所有。本站采用的非本站原創(chuàng)文章及圖片等內(nèi)容無法一一聯(lián)系確認(rèn)版權(quán)者。如涉及作品內(nèi)容、版權(quán)和其它問題,請及時通過電子郵件或電話通知我們,以便迅速采取適當(dāng)措施,避免給雙方造成不必要的經(jīng)濟(jì)損失。聯(lián)系電話:010-82306118;郵箱:aet@chinaaet.com。